Dec 2011
Xilinx
starts shipping Zynq-70000 devices
T&M
expert Aeroflex opens sales and support office in India
Intel
names new MD for South Asia sales and marketing
Shipment
of 566M projected capacitive touch screens in 2011 for mobile
phones
Broadcom
licenses Rambus patents
IHS iSuppli:Smart
Meter shipments to triple from 20.5M in 2011 to 62M in 2016
Android
4.0.3 Ice Cream Sandwich for MIPS Architecture is available
Slew
of steps taken by Rohm to recover fast from Thailand floods
Flamac
and imec are partnering to develop new materials for solar
PV cells
Solar
PV manufacturing equipment market continue to decline in 2011
Global
semiconductor manufacturing equipment billings reached US$
10.6B in 3Q11
Smart
grid to fuel the growth of semiconductor market for next 5-6
years
Cree
licenses remote phosphor patents to LED makers
Panasonic,
Samsung, SanDisk, Sony and Toshiba collaborate on SD Card
security
Indian
semiconductor company ships 12 million ICs
ISA 2011
awards highlights innovation and excellence in electronics
Chip
packaging material market to reach $25.7 Billion by 2015,
estimates by SEMI
HDMI
device shipments to rise 17% annually through 2015, estimates
In-Stat
Apple
to reap the connected TV market
Tear
down analysis of solar inverter finds a BOM of $641.47
Rambus
and ITRI collaborate on 3D semiconductor packaging tech
Probe-free
testing of semiconductor wafers achieved by ST
Imec
achieved 8.3% effeciecy for organic polymer based solar PV
cells
ebook
readers sales by units grow by 108% in 2011
Mobile
market need 3.1 billion SoC semiconductor ICs by 2015, forecasts
In-Stat
TSMC
expanding faster its facility for making 20nm semiconductor
chips
RFHIC
licenses doherty amplifier patents from Cree
Seamless
and pervasive connectivity is the demand by the consumer,
as per survey
Researchers
develop a better dye to enhance solar cell efficiency
ChipEstimate.com
and SMIC Launch IP portal
Avago
drops patent lawsuit against Cypress Semiconductor
iPad,
Amazon Kindle Fire and Nook all use LG made LCD display panel
says HIS
Opportunities
remain same for small companies in semiconductor business
Maxim
to focus on Indian semiconductor market
ELECTRONICS.CA
forecasts explosive growth power semiconductor devices
Advantest
has shipped thousand T2000 semiconductor chip test platforms
Gartner's
semiconductor market forecast for 2012 is less optimistic
28nm
physical verfication tool from Synopsys is now certified by
globalfoundries
Despite
the odds global semiconductor revenues to reach $302 Billion
in 2011
C-DOT
transfers GPON tech to telecom equipment makers
Global
consumer electronics market to grow at a CAGR of 7% during
2012-2015
Global
network security appliance and software market grew 6.4% in
3Q11 to reach $1.46B
STATS
ChipPAC's plant in Thailand is under suspension upto Jan 2012
Wind
River is leading system software vendor for multicore processors
Semiconductor
equipment up by 151% in 2010 and 4.7% in 2011but to down by
10.8% in 2012
Lattice
Semiconductor has shipped 75 million MachXO PLDs
802.15.4
(ZigBee) Semiconductor revenues to surpass $1 Billion in 2015,
as per In-Stat
Internet
enabled TV to boost online video market
Forum
on 6th December covering 3D semiconductor packaging tech
Semiconductor
vendor market ranking in 2011; Intel grows further taller
IC Insights:
Spending on smart grid tech to reach about $100 billion in
2011
Infonetics:
2G, 3G, and 4G infrastructure market up by 8.6% from 3Q10
Agilent
signs acquisition agreement with Accelicon Technologies
Imec
develops 23% efficient crystalline silicon solar cells using
back-contact tech
3D Semiconductor
tech turns chip into cube
Skyworks
and AnalogicTech amend their merger agreement
Barco
providing projectors for 42nd International Film Festival
of India
Samsung
leads in deployments of LTE base stations in Asia-Pacific
in 2011
AudioCodes
offers qualified call recording solution for Microsoft Lync
2010
Fujitsu
Semiconductor uses Mentor's Graphics HyperLynx Signal Integrity
tech
Synopsys
to acquire Magma
Nov 2011
Toshiba
to phase out production at three of its semiconductor plants
Altera
started shipping its 28-nm Arria V FPGA chips
IME to
commercialize silicon chips supporting high bandwidth optical
communications
MPW semiconductor
foundry services from AMS is made more extensive
AltaSens
employs Berkeley's EDA software to design HD CMOS image sensors
Mindspeed
ships five millionth Comcerto packet processor SoC for telecom
apps
Clarion
partners with Wind River to develop Android-based in-vehicle
infotainment sys
Higher
resolution for tablet PCs is the trend in LCD panels as per
study
Panasonic
to supply Lithium-ion batteries for Toyota Prius Plug-in Hybrid
Server
shipments in 3Q11 grew 7.2% y-o-y
Non-Volatile
DIMM memory can save the desktop computers from using UPS
Less
demand for Lithium batteries results in ASP drop
VeriSilicon
licenses ARM IP
Kaneka
and imec develops 21% efficient solar cells using copper instead
of silver
Walton
to purchase from Elpida 7,500 preferred shares in Elpida subsidiary
EBS
Panasonic
to invest in Malaysia to manufacture solar wafers, cells and
modules
Hearing
aids to adjust the volume by sensing EEG signals
AMD branded
DRAM memory modules available now in N.America
IBM opens
3 more offices in India
B and
I of BRIC is target of TowerJazz for its semiconductor fab
services
Qualcomm
collaborate with bookseller Kyobo to launch $310 e-reader
SMAC
to focus on 3D semiconductor tech
e-Shakti
cards in Bihar to use NXP ID chips
Tear
down analysis of Kindle tablet from IHS finds tricks in low
cost design
CTS to
acquire Valpey-Fisher
Four
STM32 MCUs takes full control of student designed solar car
IP creation
can power the Indian ESDM industry
Vishay
reduces lead-time for MIL-Qualified MLCC capacitors
CEVA-TeakLite-III
DSP approved for Dolby for MS11 Multistream Decoder
Aditya
Birla Capital Advisors invest Rs. 95 crore in Alphion India
Lantronix
relocates its console server manufacturing to U.S.
ARM opens
design center in Hsinchu, Taiwan
INCJ,
Hitachi, Sony and Toshiba agree to form Japan Display Inc
nCore
and the Portland Group offering training course in GPU programming
Stratix
IV FPGAs inside CHREC designed supercomputer
Android
leads in 115M smartphones sold in 3rd Q, sales grew -7% q-o-q
and +42% from year ago
Phoenix
Tech is authorized distributor for Lattice' chips
Cryptography
Research and Mikron JSC signs patent license agreement
Fairchild
Semiconductor not closing its 50+ years old plant in U.S
DLNA
includes Wi-Fi Direct in its interoperability guidelines
Toshiba
develops tech for embedded SRAM to operate from wide Vcc of
0.5V to 1.0V
Altera
to support OpenCL for FPGAs
Amkor's
acquisition talks related Toshiba's semiconductor ops in Malaysia
postponed
Inphi's
iMB enabled LRDIMM modules validated by Intel
Xilinx
suggests R&D outsourcers for its FPGA buyers
Abundant
opportunities for solar companies in rural electrification
market
Data
transfer on removable secure elements using NFC
ZTE's
Blade and Skate phones uses TriQuint's power amplifier modules
Lattice'
power management IC selected by Netezza
Intersil
and Nextreme develops reference design for energy harvesting
apps
Document
on latest electronics and semicon packaging terminologies
WitsView:
LCD TV shipments in 2011 to grow by 7% to reach 201 million
units
TI, ST,
Infineon are top vendors of semiconductor parts for industrial
segment
Indian
digital satellite STB market growing huge, says In-Stat
Broadcom,
NXP, Freescale, and Harman form OPEN Alliance SIG
Keynote
subject at SEMICON Japan 2011 is 'power of Asia'
ZTE
designs packet-based multiservice bearer platform using Xilinx
Virtex-6 FPGAs
Inphi
and Sumitomo Electric develops next-gen CFP optical modules
for 100GbE sys
Mentor
Graphics' Questa and Veloce to support latest ARM Cortex and
AMBA
Lattice
gains stronghold in power management chip market
Certified
touch interface reference design for NVIDIA's Tegra 3 for
tablets
Qualcomm
acquires wireless power tech expert HaloIPT
Licensing
program for 'Full HD 3D Glasses Initiative'
KORG
selects ADI's SHARC in its music synth systems
TSMC
awards Synopsys with Interface IP Partner of 2011
TowerJazz
qualifies Cadence tools for its Reference Design Flow 2.0
DRAMeXchange
reports 19.4% fall in DRAM revenue on q-o-q basis
Article
to assist electronics part of electric vehicle design
Invensas
Acquires patents of TSV expert ALLVIA
IHS:
Thailand floods to disrupt the electronics component supply
chain
Fairchild
launches online power supply design software
Qlogic
deploys Cadence Palladium XP
Qosmos'
ixEngine SDK is integrated into Adax
Rovi
and Sharp signs multi-year license agreement
Berkeley
AFS Platform adopted by ICsense
Micrel
starts producing MEMS from its fab in San Jose
ARM acquires
IC design optimization software tool expert Prolific
Semiconductor
device sales in September up by 2.7%
Surveillance
camera reference design using Lattice' FPGA
Molecular
dynamics of insulators studied using supercomputers
Emerson,
Freescale and Kontron collaborate on I/O connectivity in COM
Express
Virtual
platform for developing sys based on Xilinx' Zynq-7000
Semtech
uses MATLAB and Simulink to develop FPGA prototypes
Hitachi
opened R&D centre in Bangalore, India
SoCtronics
tapeout first 28nm design from India
Sensor-on-cover
and in/on-cell are emerging tech in capacitive touch panels
Intersil
showcase automotive ICs for electric vehicles at the battery
show
European
research group aims to achieve 9% efficiency in organic solar
PV module
Murata
to own high power amplifier business of semiconductor chipmaker
Renesas
10G,
40G, and 100G optical transceiver markets to grow to $2.6
billion by 2015
Amulet
GUI design software for embedded systems support more features
Oct 2011
India
better not miss the next bus in electronics design and manufacturing
ARM Cortex
based low power 32-bit MCU with DSP capabilities from ST
MEMS
pressure sensor market by revenue to reach $1.85 billion by
2014
IDT's
low-power dual-port memory in Samsung GALAXY Tab
Infonetics:
Market for desktop and mobile security clients to hit $8.2
billion by 2015
Android
app for wireless streaming of digital media inside home
NXP,
Leedarson and GreenWave demo energy saving lighting
NFC
Forum leverages Broadcom's new NFC chips for validation
Anritsu
claims leadership position in LTE test gear
Infineon,
Toshiba and ST are top three in power semiconductor market
Mentor
Graphics and MoreThanIP collaborate in SoC design solutions
28nm
chips from TSMC are in volume shipment
Red Bend's
VLX to support ARM's Cortex-A7
Rogers
and Hitchi are collaborating to use PCB in high speed apps
Tablet
world is powered by ARM processors
ADI'
MEMS chips finds use in study of rowing kinematics
CEVA
and Mindspeed to demo LTE base station at 4G World
NFC chip
shipments to surpass 1.2 Billion by 2015
ARM Cortex-A7
is 5x power efficient at 1/5th the size of the Cortex-A8
Solar
inverter maker AEG inaugurates factory in Bangalore
JSR
Micro joins CEA-Leti to develop sub-20nm maskfree lithography
material
NAND
flash: 2xnm-node chips to gradually replace 3xnm-node chips
India
is a fast growing market for engineering design software
Cadence
collaborates with partners in offering complete EDA software
chain
Fujitsu
Semiconductor adopted NanGate's Library Creator in its 28nm
design
Freescale
licenses ARM Cortex-A7 to put in its multicore i.MX chips
New
version of Nucleus RTOS from Mentor supports DVFS
In-Stat
estimates 154 Million+ LTE handset shipments in 2015
Quantenna
collaborate with Sagemcom on Wi-Fi based wireless TV in home
SpringSoft's
Verdi and Vennsa's OnPoint are designed to interoperate
TSMC
tapes out 20nm ARM Cortex-A15 MPCore processor chip
CRI
licenses its DPA patents to a mobile device maker
StarBridge
select IDT's PCI Express to RapidIO devices for its RapidExpress
products
6 Billion
USB-enabled devices by 2015
ASP pressure
on M2M embedded modules due to China factor, says ABI
Infineon
to supply >40% of the security MCUs for e-health cards
in Germany
Roush
Yates Engines and Freescale Semiconductor to partner
Electric
cars charging contolled using mobile devices
Pilot
project in Denmark for testing smart grid
Gartner:
Global PC shipments grew 3.2 percent in 3rd Q 2011
ADAS
revenues to grow by 57% in 2011
STMicroelectronics
implements TSV in MEMS chips
India
semiconductor fab is key, as per Draft National Telecom Policy-
2011
Study
finds worldwide lighting market reached 79.3 billion US$ in
2010
Tablets
are seen as fast growing market for DRAM makers
Epistar
adopts KLA-Tencor's Candela substrate and epitaxy (epi) wafer
inspection system
Mobile
PC shipments is forecasted to increase 27% Y/Y, to 276.9 million
units
RFMD
forms a new business group called Compound Semiconductor Group
Aninda
Moitra is appointed as Country President of Applied Materials
India
ENEL
to use ST's semiconductor devices in its smart energy devices
Synopsys'
DesignWare IP for UMC's 28nm HLP Poly SiON process
Dark-field
detection of defects on unpatterned semiconductor wafers
ASSID
employs Altatech Semiconductor's 300 mm CVD sys for 3D chip
making
Differential
probes from R&S to measure differential and ground-referenced
signals
Lenovo's
IdeaPad uses Atmel's touch interface controller
Panasonic
to supply lithium-ion batteries to electric automaker Tesla
AWR and
Magus design interface between their RF design softwares
Call
for papers on SoC semiconductor test technologies
More
than 300 Million HDMI enabled mobile PCs are estimated to
be shipped in 2014
austriamicrosystems
extends its HV CMOS tech for power grid apps
LCD TV
shipments in India expected to grow at a CAGR of 39% from
2011 to 2015
Infineon
starts producing power semiconductor chips on 300 mm wafer
Freescale
uses Qualcomm Atheros' devices to demo smart energy app
UMC select
ARM Artisan Physical IP for its 28HPM process
Half
a billion+ 2.4GHz ULP wireless chips shipped by Nordic Semiconductor
CADSTAR
placement planner from Zuken to bridge engineer and PCB designer
SoC with
power consumption of 10.2 pJ/cycle at 0.54 V and with 0.4V
SRAM cell
MoSys
demos interoperability of its Bandwidth Engine IC with SerDes
from Avago
Quantenna
partnering with Samsung Electronics for 4x4 MIMO Wi-Fi technology
Synopsys'
USB 3.0 IP surpass 40 SoC design wins and 30+ customer licensees
Exar
adds open source support for SSL and IPsec to its processors
Optimism
in the air for the Indian electronics and semiconductor industry
Steve
Jobs; Excellent human who pioneered in making the machine
more human
Sep 2011
JEDEC
publishes Serial Flash Discoverable Parameters for serial
NOR Flash
Microsemi
to acquire Zarlink
Capital
spending by top Semiconductor makers is <10% of annual
sales, finds IC Insights
Amkor
to acquire Toshiba's Malaysian semiconductor assembly and
test ops
Synopsys
to distribute ARM's Fast Models for processors
Open-Silicon
licenses ARM's IPs
Tridents'
HiDTV Pro-SXL SoC in AOC's digital TVs
Version
2.0 PSoC creator is announced
IP set
top box shipments estimated to surpass 21 million in 2011
SEMI
India forms SIG on HB LEDs
Harris
selects Altair chipsets for public safety LTE terminal products
Semiconductor
market to feel the pinch of global weaker economy
In-Stat:
39.8 million broadband CPE units shipped in 2Q11 with q-o-q
growth 5.6%
30% productivity
boost obtained by Giantec using Cadence Virtuoso flow
Mentor
Graphics and NuFlare extends collaboration on advanced IC
mask generation
Wind
River and Leadcore develops and test Android SoC smartphone
platform
ADI announces
toll-free call support to its Indian customers
Altera
demonstrates floating-point DSP design flow on an FPGA
Silex's
Wi-Fi modules and security software are integrated in Green
Hills's RTOS
Applied
materials' new EUVL overcomes another major hurdle in 13.5nm
lithography
Femtocells
use increased 18% quarter-over-quarter, finds Infonetics
Forecast:
Global smartphone revenue to grow 31% in 2011 over 2010, to
$117 billion
Atmel
and Arduino are collaborating to offer embedded boards for
prototyping
NXP adds
new NFC ICs for making RFID tags
Open-Silicon
awarded a patent on lowering power consumption in semiconductor
chips
China
to install 280 million smart meters by 2016, forecasts In-Stat
Freescale
launched new program for automotive domain to assure safety
compliance
NFC
radio controller chip PN544 from NXP to support Windows 8
AMD
created drivers for its chips to support windows 8 OS
Entropic's
MoCA chip powers Technetix' Ethernet-to-Coax network adapter
Mentor
Graphics expands Vista ESL Platform integration with Catapult
C
SIGMA's
SD1 high-performance digital cameras designed using Xilinx
Spartan-6 FPGAs
Reference
design for delivering advanced communication services over
low-cost packet n/ws
Touch
controller chip mXT1386 from Atmel supports Windows 8
New version
of ScanExpress Boundary-Scan Tool Suite from Corelis
2011
semiconductor revenues to reach $299 billion, Gartner estimates
Mentor
collaborating with TSMC supporting effective fill solution
for advanced nodes
ST extends
radiation hardened analog devices for aerospace
Infonetics:
2G, 3G, 4G equipment spending go up by 25% YoY with LTE surpassing
WiMAX
Freescales
forms MCFA group to help commercial avionics companies
Invensas
uses IDT's DDR3 register in its xFD memory stacking technology
Seminar
on power supply management design from Lattice Semiconductor
Adhesives
from 3M for gluing semiconductor wafers vertically
Crash
prevention technology from SoftKinetic
Set-Top
Box SoC from Trident support web content viewing
ARM Cortex-A9
MPCore processor based STB SoC for a truly connected home
apps
Rice
researchers work on highly conductive nanotube cables to replace
metal cables
Invensas
to demo multi-die, wirebond tech that mounts semiconductor
chips upside down
MStar
to license CRI's CryptoFirewall to use in its multimedia SoC
STB chips
Worldwide
semiconductor equipment billing in 2nd Q 2011 is 1% lower
than 1st Q
Mindspeed's
burst-mode transimpedance amplifier for EPONs
Rovi
and Samsung signs multi-year DivX licensing agreement for
Samsungs products
Dialog
Semiconductor's DA6011 companion IC is used in ADLINK's computer
module
Software
from Corelis supports NI's HSDIP for deploying boundary-scan
test
Elpida
Memory filed a lawsuit against Nanya Technology for patent
infringement
Fairchild
Semiconductor reduces sales guidance to $400- $410 million
for 3Q 2011
Broadcom
enters into new satellite out door unit market
Synopsys
acquires nSys Design Systems to expand its Verification IP
in chip design
Entropic
to demo its MoCA 2.0 home networking solution at IBC2011
IDT to
sell its Wafer fab to Alpha and Omega semiconductor
Solar
powered crop harvesting robot wins Intel prize
Imec
partners with Wipro to tap Indian nanotech research market
NSA approved
defense-grade Spartan-6Q FPGA in production
Micronas
acquires a EUR 10 million stake in the X-FAB Group
CMOS
transmit modules from Amalfi Semiconductor for front-end GSM/GPRS
handsets
Aug 2011
Fujitsu
adds Ethernet-over-Anything tech
12 Inch
semiconductor manufacturing to nearly double from 2010 to
2015
LED market:
Taiwan lead in supplies and Japan in consumption
In-Stat:
Tablet shipments to reach 250 Million units in 2017
Ericsson
acquires M2M technology platform from Telenor Connexion
200 times
faster simulations, 15% increase in performance using Model-Based
Design for SoCs
Open-Silicon
and Chrontel praises Magma's analog/mixed signal EDA tools
JEDEC
advances DDR4 Standard with reduced power usage
Cosmic
Circuits partners with Innotech for Japan market
Rich
Beyer highlights mobile and connected intelligence at FTF
2011
Renesas
Mobile and Anritsu jointly deliver MIMO test cases approved
by 3GPP
Gartner:
Western Europe PC market declined by 19 percent in 2nd Q 2011
2 billion
Bluetooth-enabled devices expected to be shipped in 2013
CVD
and PECVD equipments from AIXTRON used for making CNT and
Graphene devices
JEDEC
publishes ANSI/ESDA/JEDEC JS-001-2011 for ESD testing
Exar's
PowerXR tech to be used with Zarlink's low power voice solutions
Software
kit from AMD for developing stereo 3D
BittWare's
Anemone chips for FPGAs available on FMC card
Complex
semiconductor chip design costs are skyrocketing, says Semico
Design
concept for transformer-less Solar Inverter
KaiSemi
offers silicon solutions for obsolete FPGA and EoL ASICs with
netlist
ISA appoints
PVG Menon as new President
IDT PCI
Express switch selected by Magma for PCI Express expansion
systems
Wireless
HD video streaming pass through 3 concrete walls; joint tech
by AirTies and Quantenna
Intel
put on the platter $300 Million with the cool and thin processors
chips
Apple
and Android tablets race to serve the growing market demand
IDT
and Phison Electronics settle patent litigation
Infonetics:
Optical n/w hardware gains 21% y-o-y in Q2 2011, Huawei and
ZTE surge
MPLS
spending to reach $2.4 Billion in 2015
Google
to acquire Motorola Mobile to fully android it
Microsemi
shifts its headquarters to Aliso Viejo, California
Nordson
MARCH and Science College of Donghua Univ. create R&D
Lab on Plasma Tech
ANADIGICS
shipping production volumes of LTE amplifiers to LG
IHS iSuppli
finds Apple's iPad tablet is design efficient to beat its
competitors
Europe
advances step further in electronics ID tech
Toshiba
setup semiconductor design house JV in Brazil with Semp Toshiba
ADI wins
supplier award from automotive device maker Bosch
SOLARCON
India partners with IIT Bombay to conduct solar training course
System
in Package (SiP) summit by SEMI to focus 3D semiconductor
device making
REVSONIC
is the sales representative for Arasan in Japan
TI offering
collection of power supply reference designs in its PoweLab
library
Wireless
applications to consume more semiconductor devices than the
computers
Standard
groups related to home-automation form a consortium to certify
SEP 2
In-Stat:
Global smartmeter revenue to exceed US$12B in 2016, ZigBee
leads
Sony
commercializes its 3 Inch LCD module with white pixel
Event
on Known Good Die (KGD) and 3D semiconductor packaging on
Nov 10 2011
Sunplus
using SpringSoft's ProtoLink Probe Visualizer for verification
of ICs
USB SuperSpeed
is forecasted to grow at 178% CAGR through 2015
Entropic,
SMC and Intel are collaborating in developing MoCA 2.0-enabled
DOCSIS 3.0 gateway
Vivek
Sharma of ST explains role of semiconductors in green energy
Global
semiconductor sales in June 2011 weaken by 0.5%, SIA
MEMC
acquires Fotowatio Renewable Ventures Inc
Cosmic
Circuits releases video on its silicon validation lab
FTD is
the channel partner for Cadence in India
Xilinx'
Spartan-6 FPGAs used in NI's RIO sys
Agilent
and University of California announce new mm and Sub-mm wave
research center
Cavium
shipped its 10-core OCTEON II processors to OEMs
IDT and
Dell to present NVMe specs at the flash memory summit on Aug
9-11
Wind
River expands Android related software offerings
Infineon
shipped TPM chips for devices running on Google's Chrome OS
Silicon
Creations select Berkeley's Analog FastSPICE for circuit verification
ClariPhy's
coherent transceiver interoperable with Cortina's OTN Processors
FSK transceiver
from austriamicrosystems selected for bicycle meters due to
its 27MHz range
Elpida
sampling 2Gb DDR3 SDRAM made using 25nm process
Atmel's
mXT224E used to design touch control of Samsung Galaxy S II
phones
Suggestions
on electronics design for the commodity market by Wally Rhines
Freescale
Semiconductor opens development center in Hyderabad's SEZ
Researchers
attempt to control artificial arms directly from brain
Freescale
Semiconductor tops in the networking/communications processor
market
Georgia
researchers develop memory devices based on piezoelectric
nanowires
Nano-sized
batteries to power mobiles: Rice researchers build battery
in a nanowire
IC Insights'
latest global semiconductor market growth figure is 5%
India
Semiconductor market to grow by 15.5% in 2011, forecasts Gartner
July 2011
Software
development DNA is embedded in Indian embedded systems designers
IPC updates
guidelines for cleaning of PCB and electronics assemblies
Mindspeed
acquires W-CDMA expert IPG Communications
Qualcomm
acquires gesture recognition IP from GestureTek
Renesas
uses Synopsys' HAPS for prototyping SoCs
Microsemi
acquires Brijot Imaging Systems
Cosmic
Circuits to tap Korean market through tech distributor Newlinktek
Microelectronic
Relay designers manual from IR to simplify design
New PC
phones from Fujitsu uses Cypress touch chips
Economic
Times' article calls for Government to set up semiconductor
fab on its own
TE Connectivity
joins United Nations Global Compact
Toshiba
opens new 300mm fab in Japan to make 24nm NAND flash chips
Micrel
joins MIPI Alliance
Linear's
high-speed ADCs now falls under less stringent U.S Export
regulations
The semiconductor
device content in tablet to approach $14B by 2015, estimates
In-Stat
Online
low-power VLSI chip design webinars from Apache
Berkeley
Design Automation names ICON Design Automation as representative
in India
Cadence
acquires clock concurrent optimization tech firm Azuro
IHS iSuppli:
Nearly half of notebooks shipped in 2015 to feature quad-core
processor
Hynix
and Toshiba collaborate to develop NV memory; MRAM
Taiwanese
LED market-growth update in mid-2011 by LEDinside
DisplaySearch:
Touch screen module revenue to reach $13.4B in 2011 and $23.9B
by 2017
Webcast
on "Wireless Communication of Sensor Data in Industrial
Applications" by ADI on July 20
SEMI
publishes standard to specify silicon feedstock for solar
PV manufacturing
LG Electronics:
3D TV to eventually function without glasses
WAC Lighting
uses Cypress' multi-color LED light controller SoC CY8CLED02
in its tape light sys
OpenFlow
1.1 Implemented on Ezchip's NP-4 100Gb network processor
Renesas
Electronics tests Android on its SoCs using Wind River FAST
ELMOS
Semiconductor selects X-Series test equipment from LTX-Credence
to test its chips
A microcontroller
can be powered by harnessing EM energy in its ambience
SaaS
market is forecasted to reach $12.1 B in 2011
Imec
exposes semiconductor wafers using EUV ASML's scanner fitted
with XTREME's LDP
Delta
India Electronics' Rudrapur Plant LEED Certified
austriamicrosystems
collaborates with F-Brain to serve foundry customers in Japan
Synopsys
and GLOBALFOUNDRIES jointly developed iPDKs for 65nm process
Synopsys
IC compiler supports DPT tech for 20nm IC design
2011
is forecasted as second highest semiconductor equipment spending
year
Toumaz
expands and commercializes its body worn medical device
Imec
brings down the leakage current in DRAM at lower EOT of 0.4nm
Implant-free
quantum-well SiGe pFETs for low power and high performance
semiconductor devices
imec
stacks DRAM over logic chip and connects them by TSV and micro
conducting bumps
Google
selects austriamicrosystems' EasyPoint mini joystick module
500 Z-Wave
certified products in the market
Webcast
on power supply design by ADI on July 13
Microsemi
acquires rad-hard device maker ASIC Advantage
IDT partners
with Fudan in delivering smart meter reference design
Mentor
Graphics adds learning material on UVM/OVM of chip design
Gigaset
uses Dialog's VOIP chips in its phones
Accelicon
to support TMI and BSIM-CMG Model
Microsemi
achieves AS9100 Rev C and ISO 9001 certifications
Ansys
to acquire VLSI software vendor Apache
IBM researchers
mitigate the problem of short-term drift in multi-bit PCM
memory
Jun 2011
RS Components
publishes 30,000 3D CAD Models for mechanical and electronics
engineering
nanoETXexpress
gaining momentum as ultra-small COM Express compatible solution
419 Million
WLAN enabled CE equipment shipment-forecast for 2015
Cost
and usability to remain barriers for strong growth of PKE
in cars
Magneti
Marelli selects VxWorks for its telemetry devices used in
race cars
Intel's
share in microprocessor market increased in 1Q2011, says IHS
iSuppli
Positive
impact from the Japanese semiconductor industry's recovery
from disaster in 3Q 2011
JTAG
donated tools and support to the European Student Moon Orbiter
project
Altera
and RV-VLSI to setup a FPGA/SoPC lab in Bangalore
Xilinx
FPGA chip with ARM cortex A9 wins product of the year award
at Electrons d'Or in Paris
SiliconGear
and Namsong design their video sys using Xilinx Spartan-6
FPGAs
The LCD
panel shipments for tablet computers grown 28% M-o-M in May
2011
Evatronix
adds USB 2.0 High Speed PHY to its USB IP offerings
Shipments
of eMMC in 2011 are projected to reach 333.7 million units,
a climb of 62%
IHS iSuppli:
Apple is the top OEM buyer of semiconductor devices in 2010
NIST
scientists use optical source to generate low noise microwave
signals
SICCAS-Corning
facility in China to explore new materials for electric vehicles
AWR opens
its design Forum for registration
Freescale
Semiconductor and Wind River's collaboration gets stronger
In-Stat:
Demand for image sensors in 3D devices to grow 130% by 2015
Alcatel-Lucent
and Broadcom create Femtocell gateway reference design
Cadence
demos PCI Express 3.0 at PCI-SIG Developers Conference
Lab-on-Chip
solution to detect E. coli pathogen
Global
spending on wireless infrastructure to reach $43.2 billion
in 2011
FinFETs,
3D-IC, 450mm, and EUV are the hot subjects for SEMICON West
2011
Cavium,
Continuous Computing and Picochip demos LTE small station
Elpida
makes 0.8mm DRAM package by using PoP tech
JEDEC
publishes inspection criteria for microelectronic packages
and covers
WBA and
Wi-Fi Alliance collaborating on Wi-Fi hotspot roaming
Semiconductor
industry revenue estimation for 2011: Gartner; 5.1% and iSuppli;
7.2%
HomePlug
Powerline Alliance supports IEEE P1905 standard
Solar
PV panels cost to reach $1 per watt sooner
DRAMeXchange
forecasts the weaker shipment momentum of NAND flash
Toshiba's
inverters to drive Ford's electric vehicles
Asian
mobile-phone makers lead in growing market sharr
MIPS-Based
Smartphone passes Android CTS
Network
Adapter from Actiontec for streaming media content to home
electronic devices
Mitsubishi's
electric cars to use Toshiba's SCiB Lithium-ion batteries
Gartner:
Worldwide semiconductor capital equipment spending to grow
10.2 % in 2011
JEDEC
publishes e-MMC standard update v4.5
Researchers
developed sensors that automatically self-repair
USB SuperSpeed
3.0 integrated devices shipments to reach 80 Million in 2011
Spansion,
TI and Dave are collaborating in developing home automation
systems
Galaxy
tablet's capacitive touch screen interface uses Atmel's mXT1386
chip
Tablet
form-factor analysis: 9.7 and 10.1 Inch vs 7 Inch
Elpida
employs HKMG tech to make 2Gb LPDDR2 DRAM
Datasat
employs Quantenna 4x4 MIMO chipsets in its WLAN systems
Novel
chip prototype service by ST Micro for academic semiconductor
researchers
AMD OpenCL
Coding Competition for software developers
Moortec
employs Synopsys' tools to tape out its analog IP
Qualcomm
to acquire Rapid Bridge
Analog
Devices acquires Lyric Semiconductor
DRAMeXchange:
DRAM contract price fell by 2.74%
China
to overtake Japan in Large size TFT LCD panel production in
Q2'11
Mobile
memory market is forecasted to grow by 25.7% to reach $16.4
billion in 2011
Tear
down analysis of Samsung Chromebook by IHS iSuppli
Graphene
based IC chips; the next imminent trend in semiconductor tech
KLA-Tencor
ships first LMS IPRO5 reticle metrology system
Wi-Fi
Alliance certifies Quantenna's 802.11n Wi-Fi chipset
External
and embedded modem shipment to grow over 193 million in 2015
Samsung
uses ANADIGICS' power amps in Droid Charge smartphone
Virtual
models of CEVA DSPs integrated with Carbon SoC Designer
Entropic
shipped 50million+ MoCA 1.0/1.1 chipsets since 2007
Open-Silicon
to tech-support Micron hybrid memory cube technology
Open-Silicon
tape-out an ultra-low power ASIC on GLOBALFOUNDRIES' 28nm-SLP
LTE subscribers
to grow over 3,400% during 2011 and 2015
MIPS
and Ingenic collaborate to bring new 1GHz MIPS-based mobile
SoC
Cadence
has announced its products for TSMC's latest Reference Flow
GaN-on-
SiC semiconductor devices beats HV silicon and GaAs in reliability
PC shipments
of 81.3 M units in the 1st Q2011, down 0.3 % an year ago
Super
fast growth of tablet is driving DRAM market
SiTime
Shipped 50 M units of its MEMS-based frequency devices
Security
appliances and software market in 1st Q 11 has grown by 11%
on y-o-y basis
Design
wins worth $41 million for Kontron AG
Fraunhofer
IIS to use Magma's tools in IP core development
Top Engineering
is investing $20 Million in building LCD Plant in China
Infonetics:
Global STB market declined 10.2% in 1Q11 to $3.1 billion
imec-Cadence
collaboration offers DFT and ATPG test solutions for 3D semiconductor
ICs
Absolute
Analysis joins the RapidIO trade association
NTAF
releases first industry specs for network test automation
The
size of mobile software applications market is growing big
and fast
Fairchild
to launch devices for mobile audio
ARM and
Synopsys partnership continue in helping mutual customers
Market
survey on cloud computing by AMD finds growing confidence
among businesses
SuVolta's
MOSFET channel tech to 2x cut power consumption of semiconductor
chips
IHS iSuppli:
Excess inventory taken care of semicon shortage caused by
Japan disaster
In-Stat:
By the year 2015 nearly 80 million DTVs will be Wi-Fi Direct-enabled
Wireless
charging is forecasted to reach $885.8 million in 2011, up
by 600%
Infonetics:
Number of LTE subscribers to top 290 million by 2015
IC Insights:
Average semiconductor content per automobile is $350 in 2011
Who is
the lucky semiconductor fab investor in India?
Embedded
NOR flash memory market in 2011 is set to grow 8 percent to
3.96 billion units
TI becomes
member of Embedded Vision Alliance
Tri-band,
Wi-Fi and other chips from Qualcomm Atheros on display at
Computex ,Taipei
Magma's
Titan, FineSim and Talus supports TSMC's latest reference
flows at 28-nm
HomePlug
Powerline Alliance to receive support from Germany's major
car manufacturers
Digi-Key
to distribute Lattice' programmable silicon chips
Mindspeed
demonstrating its packet processor solutions at Computex
Microsemi
acquires AML Communications
Avnet
Memec EMEA to stock and sell Echelon's products in Europe
Zoran
displaying Internet connected mobile devices at Computex
Atmel's
ARM9-based chips to support Android OS
Advanced
LED+3D, 3D LCD TV panel shipments increased to 1.9 M units
in Q1'11
New
text book on VLSI design by Atrenta
Schneider
Electric acquires TELVENT
$300
million of GaAs semiconductor revenue in 2015 due to p-t-p
radios
May 2011
Schneider
Electric to up its share in Indian Inverter market by acquiring
74% of Luminous
Wi-Fi
Direct program of Wi-Fi Alliance wins connected home 2011
award
DRAM
Module shipment to grow by 10.8% in 2011, predicts IHS iSuppli
Elpida,
PTI, and UMC partner on 3D fabrication of semiconductor devices
at 28nm
Austriamicrosystems
plans to go for 100% green electricity
ST Foundation
appoints new Chairman
Paradigm
works' VerificationWorks is UVM 1.0 compliant
Samsung
Electronics transfers its solar cell business to Samsung SDI
Digital
Rights market to prosper due to mobile gaming
SONY
has restored fully its playstation network services
Burst
of announcements from Cavium on its product selection and
new products
Sibridge's
verification IPs are integrated with Axiom's MPSim 5.0
EVE's
Zebu is integrated with Xena's Ethernet testing solution
Gartner:
Worldwide server revenues grew by 17.3% in 1st Q 2011
DRAMeXchange:
Price increase momentum of DDR3 due to Japanese quake weakening
If your
chip design not done in time by Open-Silicon, it will pay
you
Power
semiconductor devices on 200mm CMOS-compatible GaN-on-Si,
by imec
Altera
joins imec's INSITE program to learn about 3D IC fabrication
Cadence
claims as patent leader in EDA market
Carrier
Ethernet equipment market to reach $37.5B in 2015, says a
study
TSMC's
28nm design eco is ready with DRC, LVS and PDKs
MRAM
demand drives Everspin sales expansion
Skyworks
acquires power supply IC maker Advanced Analogic Tech
Extreme
DA is licensing its timing analysis and sign-off technology
to ATopTech
IP Infusion
opens engineering development center in India
IME and
TowerJazz to partner in developing MEMS devices
NFC solutions
from NXP semiconductor for Google Wallet
Advantest
attends to Vietnam semiconductor market by opening a subsidiary
Synopsys
delivers 28-nm design rules and modules for TSMC Reference
Flow 12.0
In-Stat:
Tablet application revenues to top $15 Billion in 2015
Infineon
to appeal Trial Court decision in patent litigation against
Volterra
NVIDIA
becomes member of Imec's CMOS program
imec
and Qualcomm extend 3D IC research
IMS finds
record build up of solar PV module inventory
Agilent
Technologies tops in Wireless test market
Class
D amplifiers preferred by premium audio system makers for
Automotives
Cheil
Industries made new 19.9 Billion won investment in OLED Equipment
Elevated
semiconductor inventories cushion Japan impact says IHS iSuppli
GSAT-
8 satellite placed in geosynchronous orbit
During
2011-13 HD-Consumer Electronics market to grow at CAGR of
around 19%
Europe's
semiconductor researcher IMEC partners with Wipro
Internet
TV, LED-Backlit, large size are the TV market trends as per
IHS iSuppli
ST to
make 3 Million MEMS sensors a day
Araine
control to use Microsemi's FPGA in its smart grid products
RNCOS
says South Korean semiconductor industry worth US $40B by
2013
Consumers
TV usage and watching pattern study by DisplaySearch
Forza
Silicon selects Berkeley's FastSPICE tool
Half
of all IMS equipment sold going to mobile networks in 1st
Q 2011
Inphi's
memory buffer validated by Intel
In-Stat:
SMB NAS revenues to reach $2B in 2015
UL acquires
Springboard Engineering
ADI
and MIT collaborating in developing advanced patient monitoring
devices
Mars
Rover uses Atmel's MCUs
PC sales
in Q1 2011declined due to tablet growth
Analysts
forecast iPad production delays & losses
Renesas
ramping up USB 3.0 Host Controllers production to 6M units
per month
National
Instruments acquires Phase Matrix and AWR
Imec
and Atrenta develop exploration flows for 3D ICs
Tablet
computer display panel shipment exceeded 5M in April 2011
APP program
by Analog Bit for SoC designers
Market
trends in wired communication equipment market by Infonetics
Mitsumi
is now 2nd source of Z-wave tech
Embedded
computer maker Eurotech to acquire Dynatem
Nanotech
based food and beverage packaging to grow at 13% during 2009-13
New
interoperability guidelines from DLNA for playback of high
quality video
Silicon
oxide based memory chip to be tested in space for radiation
PDKs
from WIN Semiconductor developed using Agilent's ADS software
Contactless
smart cards to grow by 24% CAGR during 2011-13 say RNCOS
Samsung
Bluetooth Stereo Head set uses CSR's aptx audio compression
LSI awards
its top suppliers
Synopsys
& Rohde & Schwarz strategically collaborating in offering
tools for LTE design
U.S.
antitrust review ends for TI acquisition of National Semiconductor
ChipEstimate
launches Japanese language version of its semiconductor IP
portal
STARC
adopts Atrenta's latest RTL tool
CBIP
and IEEMA are partnering to develop power sector in India
Sony
uses its 3D space recognition technology for Augmented Reality
apps
Samsung
has licensed Evident's quantum dot LED patent
TriQuint
Semiconductor's PDK with support for Agilent's EDA software
ARM deploys
Jasper's tech for validating AMBA based VLSI IPs
Wireless
broadband is the key driver of tablet market
Renesas
Mobile inaugurates R&D center in Bangalore
VDEC
selects SpringSoft's Verdi
DRAMeXchange:
Supply of NAND flash not affected by the Japan's quake
Spansion
GL-S NOR Flash Memory enters production
Ramtron
selects KYEC for assembling and testing of its F-RAM chips
Optical
N/W hardware vendor market share report for 1st Q 2011 by
Infonetics
3D TV
set market to grow by almost 500% in 2011, predicts In-stat
Touch
screen display shipments for professional apps to reach 2.97M
units in 2013
Spansion
and SMIC expand foundry agreement
DRAMeXchange
forecasts overall notebook shipment to up by 6.5% in 2011
AMI
and Realtek Semiconductor are collaborating on SD 3.0 Card
Reader booting
Xilinx
acquires IP provider Sarance Technologies
Survey
highlights of OTN deployment strategies by service providers
Rambus
to acquire Cryptography Research for $342.5M
Synopsys'
DesignWare SATA- IP SATA-IO certified
CMOS
image sensor sales growth of 13% in 2011, predicts IC Insights
DRAMeXchange
predicts DDR3 2GB contract price of US$20 in short term
India
semiconductor market grew by 28.3% in 2010 says ISA
Cortus,
MagnaChip and Taegee create 32 Bit MCU IP for touch screen
design
Worldwide
DRAM revenue declined by 4.0% in 1st Q 2011
Standalone
deep packet inspection market to top $2 billion by 2015
Gobal
semiconductor sales in March 2011 up by 2.5% y-o-y
MIPS-Based
soft processor for use in Altera's FPGA and ASICs
BTU receives
multiple solar cell rework furnace orders
Display
Week 2011 event in Los Angeles jointly by SID and DisplaySearch
Samsung,
Apple and Nintendo are top MEMS buyers for gadgets in 2010
Intel
to further raid the Moore's Law wave by adding vertical dimension
to its chips
Rice
University students develop Unique AED pads to save from cardiac
arrest
Sony
to ship 1.2kWh lithium-ion battery modules for power backup
Fiberhome
picks Mindspeed's crosspoint switch for use in OTN
Low Power
Reference Flow 3.0 from Hua Hong NEC and Synopsys
Innofidei's
Chip-set is designed using Cosmic's linear regulator IP
Inphi
appoints Siddharth Sheth as VP of marketing
Samsung
adopts Silicon Motion's LTE transceiver chip in its 4G mobile
phones
IOsemi
selects Berkeley Design Automation Analog FastSPICE Platform
Semiconductor
research in India; Omkaram Nalamasu of Applied
DisplayPort-enabled
PC equipment shipments to see a growth of 154.6%
Elpida
Memory to commence mass production of its 30nm process DRAM
in May
HiSilicon
cuts standby power of its chips by 40% using Synopsys IC Compiler
Market
for security clients is forecast by Infonetics to top $7B
by 2015
Connected
TV forecasted to grow over 123 million shipments in 2014
HomePlug,
HomePNA, and MoCA n/w node shipments surpass 40M in 2010
Ricoh
entering LED illumination market
Million
RXC single-room Controllers made by Siemens based on Echelon's
LonWorks
Apr 2011
Cree
agrees for Nippon Steel to manufacture SiC material
Consortium
to set criteria for trustworthiness of semiconductor ICs
Wi-Fi
CERTIFIED certifications reach 10,000
Worldwide
smartphone chip revenue to grow 21% CAGR during 2009-2015
Additional
capital injections by Sony in joint Sharp-Sony LCD plant suspended
Renesas
to resume operation of its Naka factory by early July
AMD and
Multicoreware collaborating to deliver tool-set for OpenCL
Sharp
uses compound semiconductor material InGaZnO in its TFT LCD
panels
Fabless
semiconductor IC vendors ranking in 2010
Hiroyuki
Hojo is appointed as President and CEO of Fujitsu Semiconductor
America
IEEE-SA
delivers new smart grid interoperability standards in India
Internet
Radio and CHAD market to exceed $1 billion In 2011, estimates
a study
Broadcom
joins GreenTouch
Toshiba
leads over Micron by 1nm
National
Semiconductor partners with U.S. Department of Energy to save
energy
Wi-Fi
Direct-enabled device shipment to grow 79% CAGR between 2011
and 2015
Semiconductor
vendor ranking in 2010; the movers and shakers as per IHS
iSuppli
NXP inaugurates
Automotive Centre of Excellence (ACE) at I2IT Pune, India
Smart
Grid panel in U.S. agrees on standards and guidelines for
wireless comm across devices
Foldable
Android phone from Kyocera uses Atmel's maXTouch touchscreen
controller IC
Wind
River expands its development team to support Android
Worldwide
4Q10 STB market revenues to reach $6 Billion
Rexchip
successful in pilot run of Elpida's 30nm 2Gb DDR3 DRAM
Lattice
opens new support office in Bangalore
NXP and
Poken deliver NFC integrated products for social networking
India
semiconductor fab plan gets serious
IEEE-SA
approved standards for surge-protective devices and insulation
Global
3D TV demand to spike with in 2015
PC market
continue to drive the global semiconductor market
Researchers
innovate a material to replace indium tin oxide
Electromagenet
suspensions for cars are vibration free, safe and consume
less power
Rudolph
launches new wafer inspection module
Explosive
triple digit growth predicted for MEMS in 2011
CMOS
image sensors' supply disturbed by Japan quake but not CCD
Blu-ray
Discs 3D in 2011 is forecasted to rise by 533 %
One
billion devices to feature embedded wireless LAN in 2011
iPhone,
iPad and iPod touch app for manufacturing ops
MIPS'
new embedded developer community portal at developer.mips.com
Zilog'
Z8F082A MCU inside SenseAir's CO2 sensor module
Opto,
sensors, and discrete semiconductor revenues to reach $58.3
billion in 2011
Rain
Computers selects LSI MegaRAID 6Gb/s SATA+SAS RAID controller
cards for its workstations
Advantech
ports its healthcare infotainment terminal to Android Froyo
NXP and
UPM extend collaboration on NFC tags
Software
developed to protect Android Smartphones from hacking
Notebook/netbook
shipment slowing from 30% Y/Y growth in 2010 to 27% in 2011
Mentor
Graphics achieved a 50% worldwide market share in PCB design
software
Cellular
subscriptions in Asia/Pacific to cross 3 Billion in 2015
Exar
extends its distribution network in Russia
Telecom
operators moving fast into all-IP/Ethernet backhaul, finds
a survey
Fairchild
Semiconductor reported 1Q 2011 sales of $413 million, up 4%
sequentially
RESCAR
2.0 to enhance the reliability of automotive electronic components
Fairchild
Semiconductor gains SiC expertise by acquiring ranSiC
1G,
10G, 40G, 100G optical and Ethernet market to reach $52 billion
in 2015
Silicon
Image to acquire wireless chip developer SiBEAM
Hughes
Telematics opts Sierra Wireless embedded wireless modules
In-Stat:
Over 225 Million tablet PC image sensors to ship using MIPI
in 2016
TI enhances
its support to open source embedded by providing Wi-Fi suppot
to Linux
Infineon
files patent infringement lawsuit against Atmel
NXP launches
partner program to promote RFID innovation
AllWinner
selects ARM Cortex CPU and Mali GPU for its SoCs
Applied
Materials taps IIT Bombay for semiconductor material innovation
Telecom
operators moving fast into all-IP/Ethernet backhaul, finds
a survey
AVST
displaying its voice communication products at IPTX/NCUG
Mathwork's
Simulink verification tools qualified for automotive ISO 26262
IBASE
and CONTEC to share each other's tech expertise
Latest
update by Rohm as on 12th Apr on restoration of works
Rohde
& Schwarz and VDE invites EE students for case study competition
3X increase
in NOR flash IC layout design speed with Laker router, says
SpringSoft
AWR achieves
more than 30% y-to-y growth in Asia-Pacific
GE acquires
technology assets of CSense Systems
Empower
signs MOU with Asia based Automotive manufacturer
TI awards
its best suppliers
LED manufacturing
is driving semiconductor equipment spending in a big way
Shutdown
of Akita plant is expected to be minimal for Elpida Memory
In-Stat:
Worldwide revenue from DSCs to reach US$43.5 Billion by 2015
Berkeley
support its Analog FastSPICE Platform in Altos' Liberate
Arcturus,
Encore and Redpine deliver voice apps software for Freescale's
CF5301x Processor
nTelos
and Alcatel-Lucent complete field trial of end-to-end 4G LTE
network
17 top
LCD TV brands grew 15% from Feb to Mar in 2011
Increase
in IPTV services over residential gateways
HID
Global to integrate NXP's MIFARE in its contactless ID products
ImageFIRST
picks Fujitsu RFID tags for garment tracking
Status
of Sony manufacturing ops on Apr 6 2011 after Japan earthquake
F&S:
Evolving wireless standards drive the world gen purpose test
equipment market
MoCA
coax-ethernet adapter segment revenue growth in 2010 up by
93%
FTTH
shift is the growing trend in broadband CPE market
Semiconductor
chips to cost more due to quake in Japan
AWR
invites technical papers for its AWR Design Forum
4th
Q 2010 set top box market trends highlight from Infonetics
Research
Optibase
to showcase portable HD/SD H.264 encoding Appliance at NAB
2011
Alcatel
Lucent and AudioCodes collaborate on SBC for mid-sized enterprises
Belden
to Acquire Poliron in Brazil
Cree
and Osram sign comprehensive patent cross license agreement
Enea
and BMR enter into distribution relationship in china
Teardown
of Nintendo 3DS by IHS iSuppli finds $100.71 BOM
Mentor
Graphics board of Directors affirms commitment to strategic
plan
Mentor
Graphics expands use of LP in TSMC's Reference Flow 11
Advantest
to acquire Verigy for US$15.00 per share in cash
AMIMON
gets $15 Million funding
Polycom
acquires Accordent Technologies
Freescale
Semiconductor licenses Synopsys' DesignWare IP
Infonetics:
N. American video infrastructure declined by 7% in 4Q10
F&S
analysis on 3-D vision sensors and image processing algorithms
Fairchild
Semiconductor employs Synopsys' DesignWare USB 2.0 nanoPHY
IP
Efficient
and fast running software development achieved without compromising
safety
Renesas
sells its U.S. semiconductor wafer fab to TELEFUNKEN
Intel
grows fast in cellular baseband market
NFC Forum
announces 32 new members
Infineon
invests US$160M to expand semiconductor manufacturing in Malaysia
Sidense
1T-OTP available in ON Semiconductor 180 nm process
X-ES
integrates MIL-STD-1533 capability from DDC into its ADP
GLOBALFOUNDRIES
signs strategic long-term partnership with imec
MagnaChip
Semiconductor offering copper wire bonding
The semiconductor
industry key centers in Asia
The missing
blocks TI fills by acquiring National Semiconductor
RFID
enabled surgical sponges to assist surgeons to ensure patient
safety
India's
VLSI and embedded systems design market to reach $10.2B in
2012
No more
paper catalogs from component distributor Digi-Key
TI acquiring
National Semiconductor is not a smart move
FOA membership
grows to 74
Altera
to up the inter-chip communication speed by going optic
Microwave
range RF equipment revenue growth in 2010 is near flat
Femtocell
market forecast: 5 million shipments in 2012
SEMI
along with CTM identifies cost reduction in solar PV manufacturing
Mobile
phone sales in rural India to grow at a CAGR of 19% from 2011-12
to 2013-14
Coventor
opens new office in Italy and a new distribution agreement
in China
Random
motion of electrons used to measure the Boltzmann constant
LG Electronics'
G-Slate tablet used Atmel touch controller chip
Mar 2011
India
attracts ROHM Semiconductor
Pradip
Dutta elected as chairman of India Semiconductor Association
Wilocity
uses set of VLSI IPs from Synopsys for designing its wireless
SoC
JEDEC's
new thermal testing standard takes lead from Mentor's T3Ster
tech
TSMC
and its partners complete semiconductor supply chain carbon
verification
Synthetic
material that gets stronger from repeated stress much like
the human body
Continental
using Wind River Diab Compiler for its drive and vehicle sys
Alvarion's
base stations using ArrayComm's advanced beamforming software
Broadsoft
interop program to include mobile phones, tablets and SIP
clients
Mobile
phone sales revenues in India to reach Rs 350.05 billion in
2016
Wi-Fi
CERTIFIED testing program to authenticate public Wi-Fi networks
Semiconductor
industry supply chain spike; status of key companies
World
Oscilloscope market to reach $1.37billion in 2014
Frost
& Sullivan: North American residential solar market to
reach $3.42B in 2017
New
version of VHDL and Verilog testbench generation software
from SynaptiCAD
CTIA,
Gemalto and ST Microelectronics partnering on secure NFC apps
Safety
approval body UL opens test lab in Bangalore, India
BroadLogic,
ZTE announce new approach for digital TV over EPON
NetLogic
to acquire Optichron
In-Stat:
Non-handset wireless spending to cross $5 Billion by 2014
AWR
to sell CapeSym's software for thermal analysis of MMICs
TowerJazz
expands its services to include CMOS image sensors
Broadcom
to acquire Provigent
CEA-Leti
working on 3D-integration of passives on semiconductor
STBs
to work as gaming consoles with 3DiJoy's motion-sensing tech
China
based Brite Semiconductor buys broad ARM processor core licenses
Mentor
Graphics tools help Fujitsu in finding IC yield loss
JEDEC
developing standards for 3D IC fabrication
The app
processor market for smartphones grew by 77% in 2010
Global
HD consumer electronics shipment to grow at 19%, estimates
by rncos
Touch
screens in tablet computers are forecasted to grow 333% by
2016
Everlight
statement on Taiwan IP court's decision on Nichia's patent
North
American Semiconductor Equipment booking in Feb up by 4.7%
m-o-m
Energy
harvesting joint solutions for wireless sensors
MediaTek
gains wireless tech strength by acquiring Ralink
13% fall
in cost of parts used in smartphone
DTH
subscribers in India to grow at a CAGR of 14% during 2011-2013
Ericsson
improves voice-fidelity in CDMA by increasing the voice bandwidth
ITRI
Adopts Synopsys' TCAD Sentaurus for SiC semiconductor devices
3D TV
channels is the trend now in TV broadcasting industry
Cisco
posted a 60% increase in SAN switch revenue in 2010
Amlogic
selects Synopsys' CustomSim
Research:
Solar PV installation in India to grow at 134% CAGR during
2009-2013
Defense
electronics is driving GaN based RF semiconductor market
Smartphones
and tablets' supply chain to go jittery caused by the Japan
quake
Applied
offers gentle doping of semiconductor instead of bombarding
with ions
Simax
Lithography starts operations in Korea and China
AWR gifting
its RF design software for EE graduates
Electronic
component stockist Digi-Key reports 64% growth y-o-y
Rambus
renews patent license agreement with Toshiba
TERI
acquires WIPRO's supercomputer for climate modeling
DRAM
maker Elpida discloses Japan's earthquake impact on its business
MEMC's
Japan staff safe but the production is on hold
Japan
tremors and tsunami will pass through the global semiconductor
industry
Fujitsu
joins IBIA, a trade body for biometrics and ID
National
Semiconductor reports sales of $343.9 million for its 3Q 2011
NetApp
buys LSI's external storage systems business for $480 Million
Chimei
Innolux reported combined TFT-LCD net sales of NT$ 480,044
million in 2010
CamSemi
switches to Mentor's chip design tools
Cavium
Networks completes acquisition of Celestial Semiconductor
18%
of global semiconductor devices are used in mobile phones
NIST
Demos electromechanical circuit used for microwave communications
TSMC's
February 2011 sales down by 7.8% sequentially
Tyco
Electronics changes its name as TE Connectivity Ltd
MagnaChip
Semiconductor's IPO at $14 per share
Study
says smart phones replacing traditional vehicle navigation
systems
Processor
and graphics in single chip driving desktop PC market
Joint
collaboration in testing 3D semiconductor chips
Germany's
academia and companies partner to develop 3D System-in-package
for RF
In Indian
flat TV market Sony leads in sales and Videocon in growth
Electronics.ca
forecasts sensors and MEMS market to reach 11.1 Billion in
2011
Semiconductor
equipment market rocketed while chip business took-off
Concentrated
solar PV growing strong
100 Gb
Ethernet CMOS SerDes architecture from Inphi
A conference
on electronics engineering education announced
Vimicro
PC-CAM Processors uses Kilopass' NVM memory IP core
Yokogawa
enhance its PLC Performance by using Synopsys' processor designer
Anritsu
displaying its latest test gear at IWCE
Power
semiconductor devices and tech in 2011: Products displayed
at APEC 2011
Technical
workshop on power and noise issues in chip-package-system
Netbook
can turn into tablet offering a new formfactor in portable
computer business
The top
ten semiconductor fabs with 300mm facility
Semiconductor
industry market estimates for 2011
Renesas'
R-Car Series SoCs to support Microsoft Windows Embedded Compact
7
Radar
can see people inside a room through the wall.
More
3rd party software support for SmartFusion FPGAs
WiMAX
equipment market jumped 85% in 2010 to reach $1.7 billion
Semiconductor
market for IVI system to grow nearly 110% from 2010 to 2015
Wind
River supports embedded kit developers with BSPs and other
software
CMOS
Sensors to exceed CCDs in digital still cameras in 2013, estimates
iSuppli
Ethernet,
WLAN, and enterprise router marker research highlights
Practical
online guide on how to use FPGAs for SoC chip design
Residential
gateway shipments is forecasted to cross 50 million units
in 2011
SaaS
type cloud computing to experience dramatic growth says In-Stat
analyst
Semiconductor
chips for tablets: Analysis after iPad2 launch
New Shanghai
HuaLi Foundry selects Mentor's Calibre RET for 65/45nm process
Bel Fuse
proposes to acquire Pulse Electronics
In-Stat:
Tablet shipments to surpass 58 million units in 2014
More
MCUs from NXP Semiconductors based on ARM core
Rolls-Royce'
luxury electric car charges wirelessly
Validated
chip design software from Magma for use with LFoundry iPDKs
Silver-Diamond
composite for cooling semiconductor chips
Toshiba
transfers semiconductor fab equipment to Sony
Japanese
semiconductor companies going for ARM processor IP core
JEDEC
publishes standards for flash memory based UFS
ANSI
launches U.S. standards directory in India
Feb 2011
At 28nm,
FPGA designs find exponential increase of applications
Quantum
dots carved inside a semiconductor sandwich using lithography
and etching
Apple
iPad commands 90% market share
CEA-Leti
announces partnerships on 3D semiconductor tech and chip design
tools
Taiwan's
LED chip maker Ubilux to boost capacity with AIXTRON CRIUS
II systems
Agilent's
network analyzer and oscilloscope approved for SATA
Joint
solution by Netronome and Qosmos for deep inspection of data
packets
Qualcomm
grants license to Agilent for RF factory testing
Samsung
and LG have shipped 66.8M flat panel TVs in 2010
IDT to
demo its all-silicon oscillator at Embedded World 2011
Petrol
driven hybrid electric truck is more fuel-efficient than diesel
truck
TI and
MIT researchers solve VLSI design issues of a DSP processor
operating at 0.6V
IR acquires
CHiL Semiconductor
IEEE
announces Standards Interest Group (SIG) for India
McAfee
to secure mobile embedded devices by partnering with Wind
River
India's
semiconductor industry alerted about disruptive nano tech
ZTE to
make NFC featured handsets using NXP Semiconductor's chips
and tech
Sierra
Wireless' embedded modules use Anadigics' dual-band power
amps
India's
hardware and semiconductor industry growth based on inclusive
growth
ST's
ID chip inside LifeNexus' personal health card
Samsung
employs its Exynos app processor in its Galaxy S II mobiles
Apple
keeps hold on its mobile apps and the content to generate
revenue
Amalfi
semiconductor records 5 million 2G GSM/GPRS TxM IC
LSI preintegrating
Zarlink's timing synch in Axxia comm processors
Mentor
offering latest tools for Achronix' 22nm FPGAs
Free
design seminar for semiconductor design experts in India from
Atrenta
Cavium's
processors employed in multiple telecom equipments
TrustedShow
supports Microsoft PlayReady
Tablet
PC reference design based on Atmel's touch and NVIDIA's processor
chips
SFC
and MEMC form a JV to produce polysilicon semiconductor material
Renesas-
India to double its semiconductor sales by 2012
LTE femtocell
from Airspan is powered by Picochip's semiconductors
C66x
DSP processor core is highest performer, claims TI
AMIMON
demos WHDI chipset at MWC
TI and
Azcom together develop 3G/4G small cell base station
TI projects
OMAP chip's human-machine interface capabilities
ST grows
its business across multiple categories
Tablets
and smartphones enable fast growth in NAND flash consumption
AirWalk,
Mindspeed and Lime develop picocell LTE
Panasonic
packs precision analog and motion sensor electronics in 2x2
mm chip
SAMSUNG
names its application processor chips as Exynos
Qualcomm's
Snapdragon powering HP TouchPad
Agilent
and Altair semiconductor partner on LTE testing
MYDP
for watching the smartphone and tablet content on TV
WLAN
Semiconductor's fast growth driven by bandwidth innovation
Engineers
at UC Berkeley find a way to build nano laser on silicon surface
Ericsson
announces its achievements in HSPA
Automatic
DRC repair is 7X faster by new Synopsys IC Compiler
TSMC
announces silicon success of Spreadtrum's 3G TD-SCDMA baseband
processor
Cellular
modules for M2M communications related market-research findings
in 2010
Research
says consumer devices with cellular connectivity doubled in
2010 by reaching 22M
imec
and Coventor align on MEMS research
MEMS
market driven by portable electronics
Eric
A. Balzer is appointed as CEO of Ramtron
Passive
UHF RFID transponder IC ranking by ABI
CSR
licenses ARM Cortex -A5 MPCore and A9 MPCore processor cores
Researchers
weatherproof plastic semiconductor using bilayer gate insulator
Synopysys
invite Cadence and Mentor' tools users to migrate to its VCS
AMD's
Fusion chips ups PC computing performance by going vector
Bicycle
mobile charger at Rs 1499, not for the ordinary Indian
CEVA
DSP overtakes Qualcomm, TI, and Mediatek in cellular baseband
processors
Avago's
SerDes handles 30-Gbps speeds
FiberTower
and BridgeWave communications develop gigabit wireless solution
for 24 GHz
NEC selects
Aricent to deploy Femtocell services in India
AuthenTec
DRM secures Alcatel OT-980 Android phone
Rambus
achieves differential memory signaling of 20Gpbs
Xilinx
joins GigaChip alliance
Powerchip
to become 100% semiconductor foundry service provider
Cavium
Networks' revenue in 4Q 2010 increase by 8% sequentially to
reach $59.8 million
Hynix
Semiconductor reported a sequential quarterly revenue drop
of 0.5 trillion Won in 4Q10
Wipro
turns into educator and also launches a new lab in U.S. for
mobile computing
Android
heading to become world's most used OS software
Jan 2011
KaiSemi
simplifies FPGA-to-ASIC conversion
Researchers
working on improving GaN based LED performance
NXP Semiconductor
invests into tech of high business differentiating potential
The new
meta materials; semiconductors of photons
ST ranked
Number one in MEMS devices for consumer and portable electronics
Researchers
develop single memory chip for system and content storage
GENIVI
push forward with new collaborations and memberships
Thermally
superior Nanotapes to replace solder bonding in semiconductor
chips
Accelicon's
MQA to support Berkeley's analog FastSPICE
NXP Semiconductor
makes it product database available on mobile software apps
OpenGL
4.1 support from AMD on windows and linux OS
ST registers
6.6% quarterly revenue growth in 4Q 2010
440 million
DLNA-certified devices installed in 2010 says ABI
PV Inverters
shipment to grow to 7 million in 2014, estimates IMS
Mulitcore
processors as market differentiators for smartphone makers
Watch
PCB related technology presentations from your PC
Change
in management positions at Ramtron
Graphene
based material for anode electrode of Li-ion batteries enable
charging in minutes
Sidense
Memory IP Qualified in 180nm, 130nm and 65nm GLOBALFOUNDRIES
Processes
AIXTRON
received order for its SiC CVD system from a U.S. corporate
R&D
2.3 million
femtozone subscribers in 2012 forecasts ABI
SoC design
software packages ready for 28nm process of common platform
alliance
Analog
IC startup Icsense' revenue and profit grow by 30%
USB pluggable
SVGA resolution pico projector solutions
32/28
nm LP RTL-to-GDSII silicon realization ref flow by Cadence
for common platform Alliance
High
hardware cost slowing RFID growth in Asia Pacific says Frost
& Sullivan
Electric
Vehicles: coming in to the main road
AIXTRON
delivers six more MOCVD tools to China´s HC SemiTek
Hard
Disk Drive market is still not affected by SSD due to cost
factor
Proprietary
low-power wireless IC shipments to grow at CAGR of >20%
during 2009-15
One Billion
GeForce chips shipped by NVIDIA
LCD Display
panel industry to face the demand-supply risk of Tablet computers
Learn
latest trends in 28nm HKMG at Common Technology Platform
Android
2.2 OS support for Synopsys ARC processor
iSuppli
forecasts a fall of 11.8% in worldwide DRAM revenue in 2011
Automotive
engine gas sensors deployments to reach 177 Million by 2017,
study says
Market
milestones of smart card ICs as analyzed by ABI
CENTROSOLAR
to supply solar modules to TSMC
Study
says 10GbE NIC/LOM shipments to surpass 16 million ports in
2014
PureVu
video processor from Cavium used in LG made wireless TVs
It costs
$50 to build USB Dongle type 3G femtocell base station
ABI Research
finds the trend of software taking half of the total navigation
market by 2016
Altera's
Stratix IV GT FPGA passes Ethernet Alliance's HSE Interoperability
test
Vivante
opens new R&D facility in Chengdu,China
In-Stat
forecasts personal video recorder unit shipment to cross 50
Million in 2014
What's
on show at CES 2011
On the
question of can Wi-Fi and Bluetooth coexist
Listen
to the talk on VLSI and embedded systems at VLSI Conf 2011Chennai
from your PCs
Wi-Fi
hotspot connects are anticipated to grow over 11 billion by
2014
Lithography
based semiconductor chip making in less than 15 nm node is
less certain
SAN equipment
market to more than double by 2014, reports Infonetics
Strategy
Analytics's tech predictions for 2011
Global
cellular baseband revenues reached $3.4 billion in Q3 2010,
estimates by analyst
17 European
telecom researchers launch a joint research on self managing
networks
Nokia
Siemens Networks leads in LTE base station vendor ranking
by ABI
The cars
with factory installed telematics to rise to 62% in 2016 from
10% in 2010
NXP terms
its latest business divestment as another milestone
Nordic
chip in ANT+ telemedical remote monitoring system from Spantec
Rambus
renews its patents license agreement with Renesas
Toshiba
slims its chip manufacturing resources to become fablite
ADI
wins patent case against Knowles in relation to MEMS device
The
U.S. tech-gadget customer is now performance/price conscious
Vivante
to double its revenue due to its increased GPU core license
sales
Achived News (July
- Dec 2010)
Achived News (Jan - June
2010)
Achived News (2009)
Achived News (2008, 2007, 2006)
|