Embedded Software / Development Kits Last update: 15 Jun 2021
Top Stories
Research finds poor quality software costs 2+ Trillions of $s
PTC to acquire SaaS product development software vendor Arena
EDA firm POLYTEDA focuses on Asia VLSI market
IOT VLSI IC design: Access to ARM Cortex-M0 processor and EDA tools on cloud
Silvaco agreeing to acquire Ipextreme
Cadence and Synopsys supports SMIC' 28 nm low-power process
VLSI chip design IP core news this week
Micrium' µC/OS-III RTOS ported into EnSilica' eSi-RISC processor cores
VLSI design companies press the throttle lever of cache coherent interconnect tech
CEVA-XC DSP power Autotalks' V2X processor
ThreadX RToS exceed 5.4 Billion deployments
Mentor Graphics acquires Calypto Design Systems
Montage licenses Arteris FlexNoC Interconnect IP for STB SoCs
Tizen Developer Summit held first time in Bengaluru
Synopsys acquires Seeker from Quotium
Sequans licenses Arteris FlexNoC IP for use in IoT chipsets
Flexible configurable cache coherent tech Ncore from Arteris
SystemC AMS released by IEEE-SA as IEEE 1666.1-2016
Online circuit simulation tool for SiC devices from Wolfspeed
Allegro PCB design software enhanced to support speedier flexible board design
Free software libraries for motion application development
SmartDV releases Verification IP supporting platform-independent simulation Acceleration
Cloud test tool VEVATO from Wipro demonstrated on WindRiver Titanium Server
A new OS for IoT
Arteris announces 9 new IP licensees in 2015
Mitsubishi Electric develops string-searchable encryption software
XJTAG releases of Ver 3.4 of its development system
New version MEMS+ 6.0 Platform for MEMS/IoT design from Coventor
Genode OS Framework 15.11 released
Express Logic' ThreadX RTOS supports ARMv8 64-Bit processors
Undo Software to deliver support for 64-bit ARM Devices
Semiconductor IP fingerprinting tech by Ipextreme
FPGA video IP sub-system written C and C++ and leverages Vivado HLS
3D model programme from RS Components exceeds one million downloads
Now you also have a free electrical design software from RS
Silver Spring Networks uses CEVA processor IP in its IoT platform
Version 8.2 of ScanExpress Boundary-Scan Tool Suite from Corelis
Cspotter from Cyberon optimised for Tensilica Fusion and HiFi DSPs
Latest version of semiconductor virtual fabrication software
An embedded software developer app store for VxWorks RTOS
One-stop shop IP offerings, key business trend in silicon IP market
Coventor appoints Dinesh Bettadapur as VP of business development
eSilicon adds SMIC in its GDSII Explorer
5X faster synthesis of PowerVR GPU by using cadence' Genus
SoC Solutions Joins IPextreme’s VLSI IP vendors constellations
UEFI BIOS & memory failure detection for Intel client and server platforms
Synopsys to acquire Quotium's IAST Product, Seeker
WIT’s RCS and WebRTC server platforms with Radisys’ MediaEngine
Ramon Chips licenses CEVA-X DSP
Java SE 8 supports MIPS processor core
Atmel collaborates with China-based IoT OS start-up
Deep dive into the MIPS RISC processor arch: un-obfuscated RTL and more
A speech to text powered software for hearing impaired
UVM 1.2 progressing to get IEEE Standards stamp
ARM and Cadence to support each other's Ips
Open source game engine Cocos2d-x optimised for MIPS CPU and PowerVR GPU
Mentor Graphics acquires 3rd EDA company within 12 months
David L. Dutton is appointed as CEO of Silvaco
HARMAN to acquire embedded software co.s Symphony Teleca and Red Bend
Bluetooth Smart 4.2 IP from Mindtree is industry's first
Jump in usage of System Verilog, UVM, and virtual in SoC design
Cypress Licenses 55-Nanometer NVM IP to UMC
Altera's Interlaken IP is compatible with Cavium’s NEURON processor
Synopsys suggests design service companies for HAPS FPGA protos
Cadence completes acquisition of Jasper
Accellera enhances mixed-signal modeling and verification in Verilog-AMS std
Mentor Graphics acquires EM simulation expert Nimbic
Agilent acquires electrothermal analysis tech from Gradient
Webcast on fixing Heartbleed for software developers
Accellera release libraries for SystemC core language and verification
Cadence to acquire Jasper Design Automation
Quantum and Silvaco to develop TCAD model for Si-Ge-C Superlattices
Why only Synopsys and Cadence, Mentor also did a smart acquisition
Tutorials on latest in EDA and IP standards by Accellera
Cadence to acquire Forte Design Systems
Mentor acquires Mecel Picea AUTOSAR Development Suite
Cadence and Berkeley settle lawsuit
Top VLSI design white papers at Aldec
VLSI Design: Hack-free semiconductor IC becoming necessity
Innovation is the fuel for profit and so is the EDA for electronics
Linux powered open and collaborative software development for 'IoE'
11.7% y-o-y growth in revenues for EDA software vendor Synopsys
Linaro joins OCP as a member to contribute to the LAVA LMP
eASIC and CST reduce multi-level PCB package design time by 5x
System design trend: OEMs expanding in to SOC design
SOC security design; fast emerging new area in VLSI design
Surge in 64-bit computing with ARMv8-A
Silicon IP reuse standard OCP-IP is now part of Accellera
e.MMC Standard Update v5.0 published by JEDEC
IP-XACT Standard is enhanced with vendor specific meta data
Freescale and Oracle to make Java work for IoT
JEDEC publishes UFS standard v2.0
USB-IF to develop Media Agnostic USB Specification
Synopsys' Laker certified by TSMC for 16nm FinFET
Cadence sold its PANTA display controller cores to ARM
Imagination working with TSMC in optimizing its IP libraries
Renesas licenses Tensilica ConnX D2 DSP for IoT app
VLSI designers can now buy NEC's CyberWorkBench from Aldec
MStar licenses Tensilica IP Core from Cadence
ST, ARM and Cadence contribute to system C specs of Accellera
ARM and Oracle partner to optimize Java for embedded systems
JEDEC publishes 6th edition of its Dictionary of Terms for Solid State Tech
VLSI design webinar on Open Source - VHDL Verification Methodology
TSMC supports Cadence' Virtuoso for design and verification of Ips
United Silicon Carbide selects Silvaco's TCAD device simulators
Silab designs its 28nm PHY IPs faster using Mentor's EDA tools
CircuitSutra to provide training and consulting in using Forte's VLSI design tool
Jasper and Duolog offering integrated chip design flows for IP/SoC design
RDA Microelectronics selects Arteris FlexNoC interconnect IP
Jasper to demonstrate its latest Apps verification solutions at DAC 2013
HSA Foundation releases Version 0.95 of its Programmers Reference Manual
ST selects InfiniScale’s ICLys for analysis of analog/RF IP and TCAM memory
ZigBee IP included as Japan's TTC standard
SEP 2 standard for smart grid design is complete
Open-Silicon completes 300 designs and ships 78M chips with 0.0003% return-rate
HiSilicon uses Synopsys IC Compiler to tape-out 50+ million instance SoC
Cadence further strengthens its IP portfolio by acquiring Evatronix
Open-Silicon extended its TSMC VCA program membership to include Israel
STARC selects MATLAB, Simulink, and Embedded Coder as preferred tools
Cadence and TSMC collaborate further closer in 16nm FinFET technology
VIA offering latest USB test tool developed in collaboration with Microsoft
SuperSpeed USB and power delivery specs from USB-IF to enhance connectivity
JEDEC publishes new test standard on UFS
Yocto compatible carrier grade Linux from Wind River
LP OS by Toshiba for multi-core embedded systems
Algotochip to support SoC designers in using Tensilica DPU IPs
SYNAPTIC completes design synthesis tool flow
IAR Systems' tools to support Microsemi’s SmartFusion2 SoC FPGAs
Brion to offer computational lithography software to GLOBALFOUNDRIES's customers
Accellera completes SystemC Analog/Mixed-Signal 2.0 extensions
FTD Automation in Bangalore to sell Arasan Silicon IP in India
Rambus sells its display related patents to subsidiary of Acacia Research
GainSpan selects IAR to develop software for its wireless SoC
Revised version of the IEEE 1800 for VLSI/hardware design is available
Imagination joins Open Handset Alliance
Embedded Coder support with MATLAB and Simulink for ARM Cortex-M devices
ARM and Synopsys partner to tape out 20nm ARM Mali-T658 test chip
High level synthesis tool from Forte supports new SystemC syntax
Verilog HDL parser expert Verific reports revenue growth of 20% in 2012
Hitachi selects Forte's high-level synthesis software
Cosmic sells its Semiconductor IP biz to Cadence
VLSI design: Free online UVM training from Aldec
Open-Silicon does backbone SoC interconnect using Arteris' FlexNoC IP
Imec and Cadence tested automated DFT for 3D Memory-on-Logic Ics
Carbon Design Systems reports continuous growth from 6 years
Oticon deploys multi-voltage, <1 Volt Vdd LP designs using Synopsys' Galaxy and Lynx
Avago's 28nm chips designed using Cadence Encounter for productivity gain
Synopsys Contributes PyCell Technology to the IPL Alliance
Revised generic standards IPC-2221B on PCB design from IPC
Forte Design Systems is top vendor of ESL synthesis software
VLSI verification tool vendor OneSpin reports double-digit growth in 2012
Atrenta joins semiconductor IP ecosystem initiative by Ipextreme
Mentor expands its embedded Linux development support for Freescale QorIQ chips
ISO approves latest version of the Ada programming language
ABI: Wi-Fi enabled device shipments reach 5B in 2012 and 10B by 2015
Most viewed VLSI design verification papers by Aldec
Standard for NAND flash device interface interoperability by JEDEC and ONFI
Vivante claims its IP cores are widely adopted in ADAS automotive applications
Fujitsu uses Wind River' software to develop its disk storage systems
New updated IEEE 802 wireless standards supporting smartgrid
The 4th leader in EDA space Berkeley emerging faster
verificationacademy.com features coverage cookbook
Accellera invites nominations for awards in EDA and IP standard creation
Broadcom contributes NFC software stack to Android Open Source project
Creonic GmbH joins Aldec's UNITE Programme as IP Partner
Aldec to present paper related h/w and s/w co-verification at an event on 19th Nov
Doc by JEDEC and ONFI defines standard for NAND device interface interoperability
3G/4G SON software and 2G/3G optimization software sales to grow 16% in 2012
Cadence tapes out 14-nm ARM Cortex-M0 test-chip using IBM’s FinFET process
Open-Silicon uses Cadence Encounter to achieve 2.2 GHz on dual-core Cortex -A9
ST uses Synopsys TetraMAX ATPG and Yield Explorer to ramp chip yield
Linaro's list of members grows big
Speakers at CDN Live: Exciting time for semiconductor industry
e-models of KEMET’s CBR Series capacitors for circuit simulation
Synopsys' 3D chip design tools supports TSMC’s CoWoS reference flow
M2M Software Platform revenues to reach $3.85 billion by 2017, says ABI Research
Yocto Project added to Auto IVI software platform by Wind River
Core Logic implements Calypto’s PowerPro tool for advanced RTL power reduction
Synopsys acquires emulation expert EVE
Synopsys IC Compiler helped Open-Silicon attain 1.3GHz performance on ARM Cortex-A9
ST picks Agilent’s 3-D EM Simulation software for ESD development in 40-nm Ics
Cadence’s Allegro PCB technology uses Microsoft SharePoint
IEC and IEEE publishes new global standards for condition monitoring at nuclear plants
IEC adopts four USB-IF specs related to data transfer and charging
Synopsys to acquire SpringSoft to strengthen its leadership in verification
Cavium licenses 64bit ARMv8 architecture
Microsoft Surface and Windows 8 predicted to change the mobile market
Open-Silicon’s chip-to-chip I/O IP employed in Netronome’s Flow processor ICs
MIPS processor IP cores gaining popularity in China
Ricoh selects EVE's ZeBu for its ASIC and SoC chip design
Aldec and Agilent connect ESL and RTL by linking simulation environments
ATopTech has selected Berkeley’s Analog FastSPICE platform
VLSI chip design software revenues up by 10.2% to $350.9 million in Q1 2012
Mentor Graphics Nucleus RTOS in MIPS32 34K rise performance by 20%
IP subsystem use: Major trend in SoC design
Processor benchmarking EEMBC is praised for its growing popularity
Cadence acquires signal integrity specialist Sigrity for $80M
Brite Semiconductor to use Cadence' DDR PHY IP in its latest chips
Broadcom buys more licenses from MIPS
Cadence Encounter improves Ambarella’s SoC performance
LDRA extends interface between the LDRA tool suite and IAR Embedded Workbench
ST’s automotive group selects Breker’s TrekSoC software to automate C test cases
JEDEC publishes updated Universal Flash Storage standards JESD220A and JESD223A
Sharp and frog-design collaborate in developing new UI for smart phones
Agilent and Thales applies X-parameters tech to wideband super-heterodyne Rx
EVE says Mentor Graphics' patent infringement suits are unfounded
Hitachi uses Mentor Graphics Olympus-SoC to tape out 40nm, 90 million gate chip
QNX Software exhibits a new reference vehicle integrating Facebook
IP core for interfacing 3D integrated semiconductor memory devices
Agilent uses Cosmic's M-PHY in its MIPI test sys
IEC manufacturing test capability extended by Corelis JTAG tools
Agilent's RFIC simulators qualified by GLOBALFOUNDRIES for its 65nm RF CMOS processes
20-nm test chip taped out by STMicro using Cadence tools
FloEFD v11.3 CFD technology for PTC’s Creo released by Mentor Graphics
DFI 3.1 supported by Cadence IP, includes LPDDR3 mobile memory
ASTC and Tanner EDA partners to deliver ASIC design solutions globally
ECP technology from Mentor and AT&S optimizes PCB design-through-manufacturing flow
Accellera Systems receives Synopsys’ 2012 Tenzing Norgay Interoperability award
Linley: 10 Billion chips use licensed processor IP cores in 2011
Library Creator Platform for SoC physical layout design at nodes of 20/22nm
Pepperl+Fuchs employ IAR Systems’ development tools for future product development
TI’s ULP Advisor code analysis software tool integrated with IAR Embedded Workbench
Si2 supported design flow interoperability demo by 7 EDA companies at DAC
Performance Analysis Kit from Carbon Design Systems and Cadence for IP optimisation
Intel Data Plane Development Kit supported by Wind River for packet processing
Berkeley’s analog FastSPICE platform selected by Etron for memory verification
CEVA joined Wi-Fi Alliance to support software-based Wi-Fi strategy
Cadence DDR3/3L/LPDDR2 interface IP solution used in Nufront’s chip
VDC rates Wind River as RTOS and embedded Linux leader
Processor IP biz: 10 Billion cores shipped with 25% growth in 2011, according to Linley
RSoft Design Group acquired by Synopsys
Forte Design Systems joins Cadence Connections program
Samsung and Synopsys collaborate to implement ARM Cortex-A15 MPCore processor
Simulink code generation targets built into Freescale's motor control development toolbox
Industry's 1st SoC GDSII from C-models of algorithms claimed by Algotochip
Semiconductor design solutions expanded by Open-Silicon
Wi-Fi design code by GainSpan for TI MSP430
Aeroflex to provide testing solutions for mobile devices of Qualcomm
The 5th EDA software with analytical place and route for VLSI design, but for FPGA
New IEEE standard designed to assist smart-grid communications and distribution automation
Renesas Electronics adopts Synopsys' Proteus LRC for lithography verification
Matlab and Simulink campus-wide access at Manipal Institute of Technology
JEDEC forms JC-64 subcommittee to standardize non-volatile wireless memory
SMIC 40nm Reference Flow uses Cadence' Encounter
Researchers try out auto-coding concept in software engineering
Digi and Wind River collaborate on cloud-connected wireless M2M
Synopsys along with IMTAB members develop models for double pattern analysis
Ittiam Systems: electronics design IP company with $20M+ revenue and 30 granted patents
Mentor Graphics helps GlobalFoundries in improving design yield
Blue Pearl Software supports Synopsys' Synplify Pro
Cosmic Circuits demos its MIPI M-PHY at the MIPI Alliance event in Seoul
Synopsys and Applied collaborate on TCAD models for 14nm and 11nm chip design
Community for open source hardware and VLSI IP cores
IC Design: 10 vendors have qualified their soft IP for TSMC 9000 IP lib using Atrenta's IP kit
Spreadtrum licenses CEVA-XC DSP for its LTE baseband processor designs
Silicon and software for small-cell base-station hot at MWC
MWC: Stiff battle-like competition between mobile phone OEMs
PBI embedds Aurix true phonetic speech search engine in its product
FlexNoC interconnect IP in Synopsys' Platform Architect
IPC and JEDEC releases new standards for handling non-IC electronic components
Triad selects Berkeley's Analog FastSPICE
Mentor hires its x-employee to head its Indian FPGA design tool business
Fujitsu Semiconductor uses latest DFM VLSI software from Mentor
Electronics design software revenue grew 7.4% in Q311 sequentially
Qualcomm Atheros and Broadcom validate HomePlug AV
Invensas buys 73 semiconductor tech Patents from Mosys
Android 4.0.3 Ice Cream Sandwich for MIPS Architecture is available
ChipEstimate.com and SMIC Launch IP portal
28nm physical verfication tool from Synopsys is now certified by globalfoundries
Global network security appliance and software market grew 6.4% in 3Q11 to reach $1.46B
Wind River is leading system software vendor for multicore processors
AudioCodes offers qualified call recording solution for Microsoft Lync 2010
Fujitsu Semiconductor uses Mentor's Graphics HyperLynx Signal Integrity tech
Synopsys to acquire Magma
AltaSens employs Berkeley's EDA software to design HD CMOS image sensors
Clarion partners with Wind River to develop Android-based in-vehicle infotainment sys
VeriSilicon licenses ARM IP
Android leads in 115M smartphones sold in 3rd Q, sales grew -7% q-o-q and +42% from year ago
Xilinx suggests R&D outsourcers for its FPGA buyers
Mentor Graphics' Questa and Veloce to support latest ARM Cortex and AMBA
TSMC awards Synopsys with Interface IP Partner of 2011
TowerJazz qualifies Cadence tools for its Reference Design Flow 2.0
Fairchild launches online power supply design software
Qlogic deploys Cadence Palladium XP
Qosmos' ixEngine SDK is integrated into Adax
Berkeley AFS Platform adopted by ICsense
ARM acquires IC design optimization software tool expert Prolific
Virtual platform for developing sys based on Xilinx' Zynq-7000
Semtech uses MATLAB and Simulink to develop FPGA prototypes
Amulet GUI design software for embedded systems support more features
Android app for wireless streaming of digital media inside home
Mentor Graphics and MoreThanIP collaborate in SoC design solutions
India is a fast growing market for engineering design software
Cadence collaborates with partners in offering complete EDA software chain
Fujitsu Semiconductor adopted NanGate's Library Creator in its 28nm design
New version of Nucleus RTOS from Mentor supports DVFS
Synopsys' DesignWare IP for UMC's 28nm HLP Poly SiON process
AWR and Magus design interface between their RF design softwares
UMC select ARM Artisan Physical IP for its 28HPM process
CADSTAR placement planner from Zuken to bridge engineer and PCB designer
Synopsys' USB 3.0 IP surpass 40 SoC design wins and 30+ customer licensees
JEDEC publishes Serial Flash Discoverable Parameters for serial NOR Flash
Synopsys to distribute ARM's Fast Models for processors
Open-Silicon licenses ARM's IPs
Version 2.0 PSoC creator is announced
30% productivity boost obtained by Giantec using Cadence Virtuoso flow
Mentor Graphics and NuFlare extends collaboration on advanced IC mask generation
Wind River and Leadcore develops and test Android SoC smartphone platform
AMD created drivers for its chips to support windows 8 OS
Mentor Graphics expands Vista ESL Platform integrated with Catapult C
Mentor collaborating with TSMC supporting effective fill solution for advanced nodes
Software from Corelis supports NI's HSDIP for deploying boundary-scan test
Synopsys acquires nSys Design Systems to expand its Verification IP in chip design
200 times faster simulations, 15% increase in performance using Model-Based Design for SoCs
Open-Silicon and Chrontel praises Magma's analog/mixed signal EDA tools
Cosmic Circuits partners with Innotech for Japan market
IDT PCI Express switch selected by Magma for PCI Express expansion systems
Google to acquire Motorola Mobile to fully android it
Wind River expands Android related software offerings
Silicon Creations select Berkeley's Analog FastSPICE for circuit verification
Renesas uses Synopsys' HAPS for prototyping SoCs
Cadence acquires clock concurrent optimization tech firm Azuro
Renesas Electronics tests Android on its SoCs using Wind River FAST
Synopsys and GLOBALFOUNDRIES jointly developed iPDKs for 65nm process
Synopsys IC compiler supports DPT tech for 20nm IC design
Mentor Graphics adds learning material on UVM/OVM of chip design
Ansys to acquire VLSI software vendor Apache
RS Components publishes 30,000 3D CAD Models for mechanical and electronics engineering
Evatronix adds USB 2.0 High Speed PHY to its USB IP offerings
Moortec employs Synopsys' tools to tape out its analog IP
Virtual models of CEVA DSPs integrated with Carbon SoC Designer
Cadence has announced its products for TSMC's latest Reference Flow
Fraunhofer IIS to use Magma's tools in IP core development
imec-Cadence collaboration offers DFT and ATPG test solutions for 3D semiconductor ICs
The size of mobile software applications market is growing big and fast
ARM and Synopsys partnership continue in helping mutual customers
Magma's Titan, FineSim and Talus supports TSMC's latest reference flows at 28-nm
Paradigm works' VerificationWorks is UVM 1.0 compliant
Sibridge's verification IPs are integrated with Axiom's MPSim 5.0
If your chip design not done in time by Open-Silicon, it will pay you
Cadence claims as patent leader in EDA market
Extreme DA is licensing its timing analysis and sign-off technology to ATopTech
Synopsys delivers 28-nm design rules and modules for TSMC Reference Flow 12.0
Forza Silicon selects Berkeley's FastSPICE tool
PDKs from WIN Semiconductor developed using Agilent's ADS software
STARC adopts Atrenta's latest RTL tool
TriQuint Semiconductor's PDK with support for Agilent's EDA software
ARM deploys Jasper's tech for validating AMBA based VLSI IPs
VDEC selects SpringSoft's Verdi
Synopsys' DesignWare SATA- IP SATA-IO certified
Cortus, MagnaChip and Taegee create 32 Bit MCU IP for touch screen design
Low Power Reference Flow 3.0 from Hua Hong NEC and Synopsys
Innofidei's Chip-set is designed using Cosmic's linear regulator IP
HiSilicon cuts standby power of its chips by 40% using Synopsys IC Compiler
Wind River expands its development team to support Android
Software developed to protect Android Smartphones from hacking
Mentor Graphics achieved a 50% worldwide market share in PCB design software
3X increase in NOR flash IC layout design speed with Laker router, says SpringSoft
AWR achieves more than 30% y-to-y growth in Asia-Pacific
Berkeley support its Analog FastSPICE Platform in Altos' Liberate
Arcturus, Encore and Redpine deliver voice apps software for Freescale's CF5301x Processor
Mentor Graphics expands use of LP in TSMC's Reference Flow 11
Freescale Semiconductor licenses Synopsys' DesignWare IP
Fairchild Semiconductor employs Synopsys' DesignWare USB 2.0 nanoPHY IP
Efficient and fast running software development achieved without compromising safety
Wilocity uses set of VLSI IPs from Synopsys for designing its wireless SoC
JEDEC's new thermal testing standard takes lead from Mentor's T3Ster tech
New version of VHDL and Verilog testbench generation software from SynaptiCAD
AWR to sell CapeSym's software for thermal analysis of MMICs
Mentor Graphics tools help Fujitsu in finding IC yield loss
JEDEC developing standards for 3D IC fabrication
ITRI Adopts Synopsys' TCAD Sentaurus for SiC semiconductor devices
Amlogic selects Synopsys' CustomSim
Japan tremors and tsunami will pass through the global semiconductor industry
CamSemi switches to Mentor's chip design tools
Yokogawa enhance its PLC Performance by using Synopsys' processor designer
Wind River supports embedded kit developers with BSPs and other software
New Shanghai HuaLi Foundry selects Mentor's Calibre RET for 65/45nm process
Validated chip design software from Magma for use with LFoundry iPDKs
Mentor offering latest tools for Achronix' 22nm FPGAs
Automatic DRC repair is 7X faster by new Synopsys IC Compiler
Synopysys invite Cadence and Mentor' tools users to migrate to its VCS
CEVA DSP overtakes Qualcomm, TI, and Mediatek in cellular baseband processors
Android heading to become world's most used OS software
Accelicon's MQA to support Berkeley's analog FastSPICE
OpenGL 4.1 support from AMD on windows and linux OS
32/28 nm LP RTL-to-GDSII silicon realization ref flow by Cadence for common platform Alliance
Learn latest trends in 28nm HKMG at Common Technology Platform
Lithography based semiconductor chip making after 15nm is less certain
Strategy Analytics's tech predictions for 2011
Cosmic Circuits bags multiple awards in 2010 with new IP launches
Mentor Graphics suggests smart verification methodologies for VLSI engineers
Android supporting 3G smart phone design platform and chip from Broadcom
The electronics design interface-standard buzzwords for 2011
The pervasive HDMI is shipping in 350 million consumer devices in 2010, In-Stat says
CAST's new IP platform for developing ARM Cortex-M0 processor based systems
Mentor Graphics' event U2U-2010 addresses some of the key design issues in VLSI design
VDC: Top 10 market and tech trends in embedded systems; both software and hardware
Synopsys' fiscal 2010 revenue up by 1.5% to reach $1.38 billlion
Mentor Graphics acquires certain assets of CodeSourcery
DDC adds new licensing options to its data bus test and verification software packages
IAR Systems provide software support for ST's SPEAr MPUs
Mentor's embedded Linux to support NetLogic's multicore processors
Mentor Graphics' Veloce Emulation Platform adopted by Broadcom
Synopsys’ custom design solution qualified for TowerJazz’s reference flow
Shortlink and LFoundry to jointly provide Shortlink's RF and mixed-signal custom IP
Cavium does timing analysis of its OCTEON II processor using Synopsys's NanoTime software
Micrium and PolyCore Software to jointly provide ARM Cortex-based technology
Latest RTOS from Micrium supports Cortex M3 based Gecko MCUs
Wintegra renews communication IP core license from Palmchip
BreakingPoint selects Continuous Computing's Trillium LTE protocol software
TI's IQmath Library supports C2000 and Stellaris microcontrollers
Imagination Technologies and Forte Design Systems jointly develop graphic IP core
Express Logic's ThreadX RTOS supports CEVA DSP Core
Using simulation software is deeply interlaced in engineering design processes
Synopsys supports Carl Zeiss SMS' registration metrology tool for in-die metrology solutions
ST's components are added into Zuken's CADSTAR parts library
Teleca and Icera to jointly develop Android RIL for smartphone platform
Axell selects Forte's synthesis and design IP for its graphics and audio SoC designs
Cavium and MontaVista co-develop Android ref. platform on the ECONA ARM core
STMicroelectronics and Green Hills Software support ST's SPEAr MPUs
New software from KPIT Cummins based on HIS recommendation
Mentor's Embedded Nucleus RTOS used in over 2.345 billion mobile devices
NVIDIA adopts Mentor's Olympus-SoC product for its graphics processors
Agilent Technologies's chipset software supports ADI's RF IC and MxFEs converters
Outcome of Android users survey by IDC
Sony brings to market Internet capable TV powered by Google Android
Synopsys buys Optical Research Associates
Phoenix demos its UEFI plug-in on UEFI reference BIOS for Intel boards
Micrium and Validated Software Corporation (VSC) announce joint venture
Embedded software tools from Green Hills now supports NetLogic Micro's processor chips
6WIND's Gate software to power Advantech's Packetarium platforms
Enea supports TI OMAP-L138 applications processor
MATLAB now supports NVIDIA's GPUs
HSPICE Precision Parallel Technology from Synopsys increases simlutaion speed upto 7 times
Enea offers Linux config and build environment for NetLogic's multi-core processors
Extreme Reality partners with TI in touchfree gesturing engine tech
SynaptiCAD updates its Verilog netlist editor GOF to support logic equivalence failure correction
MathWorks adds VLSI design and RF features to its MATLAB and Simulink
Microchip ships its one millionth development tool
Synopsys Galaxy Implementation Platform Used by TSMC for 28nm Process
Aava Mobile uses AWR's Microwave Office in its PCB design flow
Creative Chip's complex semiconductor device designed using Synopsys' Galaxy
Cadence lined up its R&D team to deliver on its EDA360 Vision
Mentor Graphics develops SystemVision SVX Client for NI LabVIEW software
GEO Semiconductor to use SENSIO's 3D IP core in its T2 IC
Synopsys' manufacturing tools selected by SVTC
Market researcher ABI estimates Linux-based OS' share to grow by 62% by 2015
EDA Consortium reports a industry revenue of $1247 million in Q1 2010
IAR supports Renesas MCUs
Open-Silicon integrates 50 Synopsys' IP products with 100 % silicon success
The bit and bytes from EDA business guru Aart De Geus of Synopsys
SiS adopts Cadence tools for designing its SoCs
TSMC's 0.25-micron OTP IP meets AEC-Q100 specifications
Magma provides free trial version of latest VLSI design tool 'Titan'
Synopsys' Static Timing Analysis tool enhanced to handle 500 million instances
Synopsys acquires Virage to strengthen its IP portfolio
Synopsys published a book on creating standards
Wipro lauds Mentor's PCB design and VLSI verification tools for saving time
White Paper focuses on port selection, setup, and implications in EM simulators
Debug platform for SoC verification jointly by Mentor and Lauterbach
Enea's multicore capabilities attracts more chip partners
Support for open source OS Linux by TI for its DSPs
ST's power ICs can be simulated on Cadence' ORCAD Pspice
Interoperable EDA formats from TSMC for latest nodes
MathWorks And Mentor together tune their tools for designing DO-254 compliant electronics
Mentor Graphics and ST join hands to design chips down to 20nm nodes
Rescue Alert selects IAR Embedded Workbench for its medical alarm systems
Intel and Nokia merge their mobile software platforms to counter emerging challenges
TI's OMAP gets Android development support from Wipro
Trusted Logic enhances features of its NFC tech integration on Google Android
Synopsys to gain electronic system virtualization expertise by acquiring Coware
APAC IC adopts Synopsys' Galaxy
Septentrio selects DDC-I's safety-critical Deos RTOS for its new innovations
Energy Micro selects IAR's Embedded Workbench to drive its ARM based development kit
Synopsys acquires VaST Systems to widen its offerings for automotive/consumer apps
Enea signs partner agreement with Freescale
Aeroflex's deploys Synopsys' unified circuit simulation for its ICs development / verification
Toshiba uses Synopsys' low power verification methodology to verify its chip designs
NEC Electronics adopts Cadence EDI system for 40-nm ASIC designs
RTOS from DDC-I now supports AMCC PowerPC 440 processor core
Agilent's EEsof EDA channel on YouTube
Wipro adds Android capabilities into its design service offerings
Mentor received the IPv6 interoperability approval for its Nucleus V.6 networking stack
QSound Labs join in the ARM Solution Center for Android
MATLAB; an essential tool for a serious engineer
DENSO designed and tested its robotic arms by using NI's measurement tools
Researchers can access MATLAB on TeraGrid
Toshiba to expand use of Cadence tools for SoC design
TowerJazz and Tanner EDA to deliver PDK for power management IC design
IP and patents are the gold reserves of the semiconductor industry
Touch Micro-System employ Rudolph's software to improve yield in MEMS devices
Silicon Frontline's post-layout verification tool is validated by UMC and TSMC
Mentor Graphics to acquire PCB manufacturing software vendor Valor
TSMC-qualified Electromagnetic simulator from Agilent for RF design in 65 nm process
ST Micro's first-pass silicon success by utilizing Synopsys DesignWare solutions
NREL adopted Synopsys' Sentaurus TCAD to simulate solar cell performance
Dongfeng employs MathWorks tools to design hybrid-vehicle battery system
'Common Platform Technology' qualifies Synopsys IC Validator for 32-nm design rule
McObject's eXtremeDB embedded DB software is selected by defense/aerospace industry
Accellera approves an interoperability guide helping design re-use of IP components
Enea's OSE multicore edition RTOS supports Cavium's OCTEON plus processor family
Advantech supports Moblin OS with fast boot funtion
ARM Cortex-M3 based ZigBee development and debugging platform for Embedded apps
New Firmware Catalog for Actel's embedded processor development tool suite
Quadros to ship ARM RealView dev. suite with RTXC RTOS and integrated software
Flash download option for J-Link from SEGGER for free
Wind River's MIPS architectures complies with Carrier Grade Linux 4.0 specs
Synopsys has added Sunplus to its list of customers called 'primary EDA partners'
TSMC characterizing its sub-40nm memory IP with Synopsys HSIM simulator
Computation capability enhanced Matlab and Simulink support multi-core systems
New software framework for embedded platforms from Eurotech
Barco's JPEG 2000 IP core supports 40nm FPGA platforms
Windows 7 based windows embedded software available for downloading
Synfora acquires SoC design tool Esterel Studio from EstrealEDA Technologies
Renesas picks Synopsys Proteus OPC for 45-nm node production
PyCells studio of Ciranova crosses 1000 downloads
New software framework from Aricent for multimedia rich consumer devices
Spice- a-circuit 1000 times faster by using behavioral modeling tool Arana from Orora
Teradici selects Synopsys' Galaxy and Discovery chip design tools
IC Validator from Synopsys supports TSMC's new design verification format
Mentor makes it's range of EDA tools to support new TSMC processes
Magma's Talus based low-power reference flow available for SMIC 65-nm libraries
EDA industry revenues down in 1Q09 in all areas except Asia-Pacific
Renesas' new SiP design software to verify signal integrity and heat dissipation
ST's PGI and NVIDIA join to deliver CUDA Fortran compiler
Synposys tests its DDR3 IP on real silicon at data speeds of 1600 Mbps
Synopsys 2nd quarter fiscal 09 results are near flat
Synopsys ups its IP vendor ranking by spending just $22 million
Latest version of MATLAB, Simulink to support multicore processor systems
Synopsys offering professional VLSI education to Armenia's youth
NXP's IP set-top box platform to support adobe flash
NXP employs Mentor Graphics' emulator for verification of its HDTV and STB devices
DDC to provide LabVIEW support for MIL-STD-1553 and ARINC 429 cards
Synopsys parallelizes and unifies simulation and verification tasks of VLSI design
Tanner EDA launched its mixed signal and MEMS design tool in windows 64-bit
IPextreme attempt to unite sales teams of semiconductor VLSI IP core vendors
Microchip has acquired embedded c compiler firm HI-TECH Software
Webinar to address the piracy issues in electronic design automation software
EDA Tech Forum's 2009 worldwide conferences to begin from March 26, 2009
Atmel and IS2T take Java to embedded systems software domain
Wind River's Linux OS to support Altera's Nios II embedded processor
Synopsys, Powerchip and Nikon partnering on 42-nm flash memory optimization
Leadis LED IP block temperature compensation engine can be licensed
Low power VLSI design manual co-authored by ARM, Renesas and Synopsys
KEMET's product library and capacitor models available on Mentor Hyperlynx tool
Synopsys timing analysis tool to support multicore
Einfochips and NoBug offer verification IP services for Synopsys customers
India based Tata Elxsi launches a new RTOS for Embedded Systems
IAR Systems names Matthias Stumpf as MD for Central Europe
Open-Silicon's MAX Technology licensed to Brite Semiconductor
Quadros RTOS to supports LPC32x0 microcontroller from NXP
Netrino to incorporate IAR System's software design tool in its training course
AMD offers processing and graphic platform for ultrathin notebooks developers
Free Operating System to, for and by the engineering students
IP cores from IPextreme support Mentor Graphics' PrecisionR Synthesis FPGA tool
Nonlinear modeling technique for transistors and amplifiers
Gennum to bundle Jungo's driver development toolkit with its PCI Express chip
Free updated SPICE models and evaluation board for analog circuit design from ADI
HCS08 microprocessor IP Core is available from IPextreme
Synopsys reports 10.3% increase in sales revenues in fiscal 2008
Synopsys to buy ProDesign's CHIPit business unit
SiliconBlue and Magma partnering in providing FPGA design tools
Portland Group and AMD to jointly develop accelerator compiler technology
VirtualLogix to support all ARM multicore platforms
MontaVista webinar on migrating to embedded linux device drivers
Teridian Semiconductor licenses ColdFire architecture from Ipextreme
LynuxWorks and Arxan partner to provide anti-tampering embedded software
QNX gaining popularity in aerospace and defense systems
DDC-I enters embedded RTOS market
Synopsys new modeling technology to reduce library data size at 45-nm and below
Synopsys contributes draft standard to IPL alliance
Scaleo chip and Tata Elxsi partner to build AUTOSAR-based automotive platform
MathWorks opens sales and support office in Bangalore, India
TeamF1's authentication protocol products integrated with Green Hill's RTOS
QNX Launches new version of Neutrino RTOS
Enea's OSE RTOS to support Freescale's multi-core MPC8641D and MPC8572DS
DSP library for ARM Cortex-M3 based microcontrollers
Express Logic's ThreadX RTOS is available on Virtutech's Simics
Free online EDA tool for designing white LED based lighting solutions
Free webinar for embedded developers on migrating from VxWorks to Linux
QNX announces its market leading figures in Automotive RTOS market
EDA revenues down slightly in the second quarter of 2008
Micrium's embedded software fully support ARM Cortex M1 and M3
ThreadX RTOS to have MCAPI Communications API
IPextreme and Texas Instruments host webinar on "cJTAG -- IEEE 1149.7"
Commercially supported uCLinux is available for Altera's Nios processors
Green Hills Software solutions supports Intel's Atom processor N270
Embedded database manager Raima from Birdstep to support µC/OS-II RTOS
ST Engineer's book on Domino Logic
Impulse C-to-FPGA tool is integrated into LynuxWorks' BlueCat embedded Linux OS
Synopsys and Ovonyx team-up in developing simulation models for Phase-change RAM
Security tools for any unauthorized data transfer through USB flash drive
Global IP Solutions optimized voice software for Analog's Blackfin
New Products POLYTEDA released latest version of PowerDRC/LVS SUSE to distribute 64 bit ARM architecture software VLSI design automation software loop faster and faster for any last bug Tata Elxsi develops a fast booting and fast start-up automotive Linux for infotainment Silvaco released CAN FD IP core VLSI design: New version PowerDRC/LVS 2.2.1 released by POLYTEDA Flexible configurable cache coherent tech Ncore from Arteris SystemC AMS released by IEEE-SA as IEEE 1666.1-2016 Online circuit simulation tool for SiC devices from Wolfspeed Allegro PCB design software enhanced to support speedier flexible board design Free software libraries for motion application development SmartDV releases Verification IP supporting platform-independent simulation Acceleration Cloud test tool VEVATO from Wipro demonstrated on WindRiver Titanium Server Undo Software to deliver support for 64-bit ARM Devices 3D model programme from RS Components exceeds one million downloads Silver Spring Networks uses CEVA processor IP in its IoT platform Version 8.2 of ScanExpress Boundary-Scan Tool Suite from Corelis Cspotter from Cyberon optimised for Tensilica Fusion and HiFi DSPs Latest version of semiconductor virtual fabrication software SCADE and Deos RTOS integrated for safety critical applications development Version 6 of SoC VLSI design debugging tools from Concept C-based algorithms for sensor data processing Synopsys' VDK available for Renesas' RH850 MCUs Mentor enhances its FloTHERM with more features ARM Artisan physical IP for UMC's 55 ULP Plug-and play evaluation tool from NXP for RF energy applications Cache partitioning supporting RTOS for safety critical applications Vendor independent HDL code for image processing using FPGA/ ASICs Hard macro IP for Phase-Locked Loop functions in SoC design VLSI SoC layout design: FlexNoC phy interconnect IP reduce P&R iterations 50% reduction in the entire analog design flow, claims Pulsic FreeRTOS for Tensilica Processors Wind River integrating Simics with MathWorks' Simulink RTOS for your low power microcontroller based IoT embedded system Full big-endian Linux stack for the 64-bit ARMv8-A architecture Cadence' new VLSI physical implementation tool score high in performance Compression IP for MIPI DSI IP enables high resolution at lower bandwidth Voice control software optimised for Synopsys's ARC DSP processor cores Soft IP Core, targeting I2C design needs New AXI4 verification IP from eInfochips for FPGA and SoC designs World’s fastest 8051-compatible 80251 microcontroller IP Core Crypto silicon IP cores from Barco Silex supports Apple HomeKit Subscription based electrical CAD Software STM32Cube helps porting of code from one STM32 MCU to another 6.6K gates 8051 MCU IP at 13.15x speed of the original C8051 High performance yet low-cost schematic and PCB design EDA software Updated development tools from IAR for ST's 8-bit STM8 "Try silicon IP before buy" concept by eSilicon Genode OS Framework release 14.11 Updated development tools from IAR for ST's 8-bit STM8 Automata Processor (AP) software development kit from Micron EDA tool for modeling photonic integrated circuits MEMS design software features improved simulation TASKING C compiler for Renesas RH850 automotive MCUs Cadence adds 3 new products to its ORCAD PCB design software Cadence' new RC extraction tool runs faster supporting finFET designs VLSI design: Backward-compatible UVM 1.2 unveiled by Accellera MIPI M-PHY 3.0 Gear 3 IP in UMC40LP Altium's enhances its high speed PCB design using ICD tech 802.11ac Wi-Fi Silicon IP cores for your smart connection device Simulator for analog/mixed signal apps capable of virtual prototyping Accellera Systems Initiative Releases UVM 1.2 Synopsys offering production-ready IP for TSMC 28HPC Process Two-in-one ARM64 development platform ST's new middleware for its MCUs LTE stack from NextG-Com on ConnX BSP3 processor core Automotive noise killer software on a Tensilica DSP core Ansys and Synopsys EDA software ready for Intel 14nm fab H.265 video decoder core with no need for software computations Tried and tested USB 3.0 Host Controller IP from Cadence IOT Controller ADK with software from GainSpan Chip design: Faster and simpler automatic VLSI layout creator Enhanced FPGA software Vivado is 25% faster and support HL synth IAR Systems releases updated tool for Renesas RL78 microcontroller family Small LP OpenVG Vector Graphics IP Core from CAST GNAT Pro Safety-Critical Development Environment Released for LynxOS-178 Open source software for sensor hub applications ARM Compiler ver 6 adopts Clang and LLVM AdaCore releases GNAT Pro 7.2 for Android IP for post-silicon system validation in SoC design XJTAG releases version 3.2 of its boundary scan 64GSPS ADC and DAC semiconductor ip cores for 32nm Realtek uses Synopsys' software and UMC' fab to make first pass Smart TV SoC Latest version of its static analysis tool from AdaCore Power supply design tool identifies high-via currents and high resistance paths High performance 8051 Microcontroller IP core from CAST Arteris's FlexNoC Composition cuts SoC design time from 18 months to 9 UMC to offer ARM Artisan physical IP and POP IP in its 28nm HLP process Automotive platform for Linux from Mentor supports GUI development VLSI Design: HEVC decoder silicon IP from oViCs consumes 840K gates Automotive platform for Linux from Mentor supports GUI development Cadence claims its C-to-Silicon Compiler saved VLSI design time by 70% UMC to offer ARM Artisan physical IP and POP IP in its 28nm HLP process H/w and s/w platform supports high-speed digital multimedia home Cloud powered rooftop solar PV power estimation tool from TERI ARM offering kit to support quicker safety certification Software support for Xilinx' Zynq to design machine vision faster VLSI design: NEC adopts Aldec’s ALINT for RTL review Software subsystem detects audio alarms and any security threats 2D/2.5D graphics accelerator and a multilayer display controller silicon IP IC-LOGIC uses Arteris' FlexNoC and C2C IP in its IO HUB chip ARM DS-5 supports testing of Cortex microcontrollers for running RToS New MEMS design software creates Verilog-A ROM models faster ARMv8-R supports consolidation of embedded software code UMS offering RF IC design flow using Agilent's latest ADS software Three products from Mentor for HDMI 2.0 chip design VLSI design: FastSPICE simulator reduce simulation time from days to hours Intel announces chips and software supporting IoT Kumamoto University adopts Aldec’s SoC and FPGA prototyping tool Jitter generation software for T1 E1 Lines Synopsys' interface IP available for TSMC's 20 nm process Control PCB design software by touch on smart phones and tablets JPEG2000-RAW IP-cores from intoPIX for FPGA UHDTV JPEG 2000 cores supporting 28 nm FPGAs and SOCs Add voice recognition/speech-to-text feature to your SOC design Zuken's PCB design software enhanced with high-speed support Cadence GigaOpt reducing SOC physical design time Genode OS new version support Qt5 and multi-processor China-based Allwinner selects FlexNoC interconnect IP from Arteris SpeedBridge Adapter for PCIe 3.0 to speedup designs in pre-silicon environment 28 nm enhanced ADC, DAC, PLL IP from Synopsys for SOC design Questa iSDV automates writing directed tests in C to verify multi-core SoC design Aldec's UVM supporting VLSI verification platform simulates 2-3x faster Chip-design EEs can monitor V/I and EM issues while layout is created AWR releases new version of its HF design software Virtual lab by ST for audio design SynaptiCAD's WaveFormer pro enhanced with import/export of waveforms ARM Development Studio 5 starter kit for Renesas RZ/A1 devices Memory and logic libraries for optimal design of SoC Test tech from Synopsys can test several silicon dies at one time and faster Highlights of Genode OS Framework release 13.05 Accelera delivers revised version of standards for low power IC design Tool provide detailed info about the post-layout interconnections on SoC chips Latest software for virtual chip-fab supporting 3D, Trigate and HKMG Virtual dev tool by Synopsys for Renesas RH850 MCUs PDK by Mentor Graphics for silicon photonic IC Serial RapidIO 2.2 Endpoint Core from Praesum for ARM AMBA 4 AXI4 Cypress launches new IDE 5.4 for its PSoC chips Aldec launches lifecycle management solution for FPGA and ASIC designs Powerful PCB library tools from IPC to support users of IPC-7351 Massive parallel processing for chip-design timing closure in days instead of weeks OneSpin says its VLSI design tool on cloud is secure Forte unveil enhanced Cynthesizer SystemC-based HLS tool App for using formal methods for the verification of low power SOC designs STMicroelectronics offering drivers to interface its MEMS devices to Linux OS Software from Synopsys to quickly implement ASIP in SoC design ARM's DS-5 CE now available for Linux Software from CEVA for seamlessly offloading tasks from CPU to DSP Arasan launches IPs for SD 4.1 devices Mentor integrates MontaVista into its embedded automotive tech platform Car infotainment systems software from QNX supports 7digital’s HTML5 music store IP Tagging 1.0 standard from Accellera to track soft IP over entire chip design Free interactive battery power design tool by Logic PD Pre-production codec by TI based on H.265 video encoding standard Software for Canon's MREAL Sys expands to support various sensors SDKs from Ittiam for HD decoding ZigBee IP, open standard for an IPv6 wireless mesh networks is available Galaxy Custom Router from Synopsys does complex routing New timing closure tool from Synopsys speed up design while plugging the leakage IAR launches tools supporting Renesas’ RH850 core Enhanced MATLAB and Simulink supports wireless and radar design ARM Cortex A15 and A7 big.LITTLE reference designs by Synopsys for TSMC fab Latest software from GL to emulate GPRS Gb Interface over IP New version of IO Checker from SynaptiCAD to verify FPGA I/Os HEVC video encoder/decoder from Ittiam for HD compression at lower bitrate Aldec adds plotting feature in its new version of verification platform IP and verification IP from Cadence for M-PCIe Cavium uses Jasper's modeling app for RTL implementation of protocols Timing/power model generator from Mentor for deep nm node ASIC cells Silicon IP from IDTI offers up to 4:1 data compression in 4G systems AWR announce RF PCB verification flow for Zuken. Tool compare synthesizable RTL codes without testbenches or simulation vectors New HyperLynx accelerates simulation performance up to 5X faster Cadence unveil ready IP for USB SSIC specs Frequency planning wizard to determine spurious free bandwidths Embedded systems development platform based on Java DC/DC power supply design tool from MPS Synopsys tunes its software for FinFET based VLSI design IMS-based "Web Real Time Communications" platform for innovative app development DSP IP on silicon takes a space of only 0.039 mm2 in 28nm node fab Software library for enabling vision intelligence in mobiles WebPACK Edition of Xilinx' Vivado is available VLSI design tool identifies critical issues in RTL phase of ASIC and FPGA designs Graphic processor IP core takes a space of only 3.5 mm2 on a 40nm chip MOS-Varactor simulation model helps Toshiba to build RF CMOS chips up to 60GHz Kit to port Android's Jelly Bean to TI's Sitara ARM A8 processors Mentor Graphics's Tessent IJTAG automates IP test and debug in SoC design FPGA IP core enables interoperability between Xilinx Virtex-6 and Altera Stratix IV/V Aldec's ASIC prototyping platform now supports ARM Cortex-A9 RTOS from TI for embedded systems developers Genode OS Framework version 12.11 released Khronos releases updated OpenCL 1.2 specs Software from Agilent for decoding MIPI Unified and LLI protocols Silicon IP for Ethernet interface in automotive ICs Bsquare's BSP for Freescale i.MX 6 processors Advantech SUSIAccess 2.0 supports Linux H.264 High-Profile encoder IP from CAST for FPGAs and ASICs Synopsys' LP SoC tools optimized for CPA 28-nm HKMG LP RTL-to-GDSII reference flow from Cadence for common platform tech fab club GENIVI 3.0 specs-compliant Linux IVI from Mentor Software for LTE-Advanced and IEEE802.11ac for Anritsu's signal analyzers Synopsys Proteus features litho compliance check for 20nm designs Tool supports reuse test, monitor and debug logic embedded in existing IP blocks Mentor enhances capabilities of its Sourcery CodeBench and Sourcery Analyzer Optimized antenna matching circuits transferred to AWR’s Mw Office in a click New version of Riviera-PRO deliver 20% VHDL simulation performance gain Synopsys' Discovery VIP for the ARM AMBA 4 AXI4 offers a Performance Checker Serial RapidIO Gen2 MegaCore function IP from Altera Latest version of Cynthesizer SystemC high-level synthesis tool from Forte Special model libraries from Goepel for AUDO-series MCUs from Infineon Gesture recognition software designed for multi-touch technology DRC tool from Mentor allows creation of best-practice rules using VBScript/Javascript SigmaStudio for SHARC graphical development tool from ADI for audio applications Wind River introduces latest version of its software testing tool Component library from Murata uncovered for Agilent’s Genesys simulation software CCES Software Dev. Platform from Analog for its SHARC and Blackfin processors Vector’s AUTOSAR 4.0.3 basic software is now available Symtavision introduces system level tool suites for embedded real-time systems Displax launches new multitouch software for digital signage LDRArules: Software programming rules for assuring standards compliance Simulink and MATLAB 2012b with improvements in navigation M2M software from Wind River for Internet of Things apps Mentor Graphics added and improved support for 42 embedded development boards UFS IP from Arasan supports UFS 1.1 standards Version 2.3.0 System-C library from Accellera Lattice Semiconductor launches Diamond 2.0 version of its FPGA software MIPI LLI controller IP along with a matching type-1 M-PHY from Arasan 40GbE100GbE Ethernet IP cores from Altera Cadence add new features to its PCI Express Verification IP Graphical software library from SEGGER for EFM32 MCUs from Energy Micro 28nm MIPI M-PHY from Cosmic Circuits available Zuken enhance support for automotive design in its CAD software Newest version of the 68000 processor IP core from Digital Core Design New DOCSIS 3.0 Voice-over-IP solutions introduced by Microsemi NI Multisim Component Evaluator for simulation of linear circuits using ADI ICs Development platform supports Qualcomm's Snapdragon processor for Android SON software from AirHop for TI's KeyStone-based small cell SoC chips Software from Synopsys simulates Aurix MCU chip from Infineon New version of 40 nm RTL-to-GDSII ref-design flow for SMIC foundry E³.series electrical CAD software updated version from Zuken Verilog-A proprietary non-linear device models from Cree for its GaN RF devices SymTA/S can test embedded software developed for AURIX MCU from Infineon Xilinx expands FEC IP core Offering for 2.5G, 10G, 40G and 100G applications IAR enhances its embedded software dev tools to cover full ARM Cortex range JTAG module offers digital and analog test access to PCBs via QuadPod interface Toradex released specs of ARM powered Computer-Sys-on-Mod arch. named Apalis TD-SCDMA software IP from CEVA for CEVA-XC DSP family Quartus II Software v12.0 released from Altera - Supports 28-nm FPGAs Sonics and Arasan collaborate to offer optimized MIPI-LLI and UFS IP New ver. of SimMechanics helps creating realistic parts and geometry by leveraging MATLAB Quadros RTXC RTOS for DSP Cores from CEVA GOEPEL electronic develops software option for Xilinx’s JTAG Platform Cable USB II Agilent releases GoldenGate 2012 – software for RFIC simulation, verification and analysis Integrated hybrid prototyping solution from Synopsys Complete SoC design solution from Synopsys for Samsung’s 20nm node DSP software and SDK from TI for biometric real time analytics applications 50 DMIPS 32-bit microcontroller from Renesas for portable applications Calibre Litho checks by Mentor Graphics for TSMC 40nm and 28nm customers HDMI/MHL dual-mode transmitter IP core from Silicon Image for tablets and mobiles Tanner Analog FastSPICE announced by Tanner EDA for nanometer circuit verification New VHDL entry and inspection tool from Sigasi accelerates feedback cycle In-circuit debugging probe ‘I-jet’ from IAR Systems for ARM applications Free version of the IEEE 1666 Standard SystemC Language Reference Manual VLSI Design: Software bridges the cross-over points between analog and digital design Mentor Graphics’ PCB design-through-manufacturing tech used by PCD Mentor Graphics' Inflexion UI technology used in Vestel’s set-top-box New in-circuit acceleration feature in Cadence tools to speed-up SoC verification New NVM Express IP solutions released by Cadence for SSD interface Java platform for embedded system developers using ST’s STM32 family of MCUs Cadence’s Encounter digital technology benefits design of Netronome’s ‘Green’ SoCs Redesigned improved version of uC/Probe from Micrium JasperGold Apps from Jasper target specific VLSI design and verification tasks Tool from ADI for evaluating isolated communication interfaces C++ library from Intel to help developers write and tune parallel software Deep trace debug feature in Synopsys' HAPS FPGA tool SpyGlass v4.7 RTL platform released by Atrenta TripleCheck IP validator from Cadence for IP compliance testing Veloce2 emulation platform with VirtuaLAB capabilities launched by Mentor Graphics MIPI specs supported by Mentor Graphics in its Questa Verification IP library Simulink runs on arduino, BeagleBoard, and Lego embedded boards Online design simulator from Fujitsu released for power management ICs Front-end UML editor of Mentor's BridgePoint is in open source domain New Questa platform 10.1 released by Mentor Graphics Upgraded version of op amp design software tool from Intersil Analog prototyping tool from Springsoft for 28nm and 20nm chip designs Mentor Graphics and Stonestreet One integrate Bluetopia protocol stack and Nucleus RTOS Software accelerator by Wind River for android automotive IVI applications Design App from STMicroelectronics for smartphones and tablets Ceva offering optimized Skype SILK Super Wideband Speech Codec 6th ver. of Open Silicon's Interlaken IP core supports speeds up to 600 Gbps Mentor Embedded Linux supports open source Yocto Project Cosmic develops its interface IPs at 28nm and 20nm nodes INTEGRITY RTOS from Green Hills to support multi-core x86 CPUs from AMD Low energy CEVA-Bluetooth 4.0 IP for single/dual mode apps AWR issued with two patents on circuit design AudioCodes introduces Session Experience Manager software Software development kit from Synopsys for ARM Cortex processors Verification IP for Non-Volatile Memory Express from Synopsys MediaTek launches audio-video compression software "Mobile Theater" Mentor's PADS updated with new features IEEE announce five new standards for the smart grid MATLAB to HDL code converter tool from MathWorks at $10K RTL to GDS II flow from Cadence supports double patterning semiconductor tech Latest IC design verification IP from Synopsys entirely written in SystemVerilog Atmel's IDE studio 6 supports ARM Cortex and AVR MCUs Infineon enhances online design tool for LED lighting Broadcom's 3 chips for Android Ice Cream Sandwitch Virtualization software on smartphones to safegaurd personal information Qt Commercial support on VxWorks 6.9 Add HDMI 1.4 phy to your SoC design faster using ready IP from Synopsys Chip and the SDK from Ceva for runtime software development SPICE model for SiC MOSFET power devices from Cree Mentor release 8.2 version of HyperLynx for complex PCB design LSI goes for ARM IP for its new products GL communications release ATM IMA emulator software Memory IP platform for SoC designers supports different interfaces CAD app generates complex PCB footprint models MIPI announces DigRF v4 v1.10 and RFFE v1.10 specs for mobile phone designers New book titled Advanced Verification Topics by Cadence for VLSI design 400 mega-transfers per second flash interface IP from Cadence India based Ace Thought offers HD video codecs for ARM Cortex-A9 and A15 Database for Android app developers from ITTIA syncs with MS SQL server INVIA SAS to use CRI's tech in providing security IP for chips Corelis adds ScanExpress JET support for TI's Sitara ARM Cortex processors Touchfree gesture recognition database design for consumer Electronics OEMs EDA tool 'Laker Blitz' from SpringSoft targets final part of semiconductor chip making Magma release new version of its Titan analog/mixed signal tool New module in CADSTAR PCB design software to ensure proper power distribution ARM helps Android developers to create apps 4 times faster than Java code Corelis extends JTAG Embedded Testing to Freescale i.MX51 Synopsys updates its FPGA prototyping tools to work faster Connectivity IP cores from Xilinx for LTE and LTE-A wireless base stations Middleware for administering and maintaining mobile connected devices Xilinx ISE Design Suite 13.3 feature DSP support to handle media content New version of Simulink Control Design for embedded system designers Lattice releases Windows-based ispLEVER Classic 1.5 design software NXP offer emWin graphic library free with ARM MCUs iPhone app by Xilinx for FPGA designers ST-Ericsson designed its 40-nm mobile SoC using Cadence Virtuosa and Encounter Synopsys enhances its FPGA tool to reduce design errors VSTREAM from ARM is extended to support functional SoC validation on RTL simulator tools Cosmic Circuits announces 28nm roadmap of its VLSI IP offerings Low power open-source cell library from Si2 for comflex VLSI design Filtering and predictive software from ST for handling multiple i/p data from MEMS Wind River's Workbench On-Chip debug tool for Intel arch based embedded sys Application software for viewing of PCI Express 3.0 packet data Cosmic Circuits tapes out MIPI MPHY in 85nm DPI Software from Sensory for Cavium's OCTEON II processors Wind River's fully integrated graphics software stack on Linux platform for processors Scalable platfrom for delivering HD content in digital home and SMB networking apps IP and a reference design for IEEE 1588 PTP on Microsemi's cSoC Sunplus picks Cadence' transaction-level modeling flow for IC design Semtech releases firmware update for its ACS9510 device SmartFusion chips from Microsemi supports FreeRTOS Stellaris 2.4 GHz CC2560 wireless Kit enables Bluetooth evaluation in 10 minutes Linux-based wireless device server from Lantronix comes with dev. Platform Mentor Embedded Inflexion UI software is available on ST's SPEAr processors Virtual prototyping tool from Synopsys for faster validation of SoC and software Riviera-PRO from Aldec features UVM transaction-level visual debugging Camera Link IP core for Altera's Cyclone FPGAs Cosmic Circuits M-PHY silicon proven in 40nm Simulink Design Verifier 2.0 with automated error detection and test generation feature SynaptiCAD's HDL tool supports C++ and SystemC Faster and smaller in-circuit debugger and programmer from Atmel Enhanced AES crypto engine from Barco Silex Software drivers from Atmel supporting popular sensors in the market AMD launched software tools to optimize apps for OpenCL standards System-Level Verification IP from Jasper for ARM ACE-based SoCs Verification IP for ARM's AMBA 4 Coherency Extensions protocol Mentor is providing DRC and LVS tools to make 3D-ICs Common embedded software development platform for all stages Mentor's HL synthesis tool now supports TLM BittWorks II Toolkit from BittWare for signal processing apps using FPGA EDA Simulator Link 3.3 from MathWorks with new FPGA-in-the-loop ADI releases enhanced RF design software tools to support its latest RF ICs Avnet to distribute Aldec's Active-HDL in Asia TDK-Lambda power supply selection app on iPhone and Android SLEC from Calypto does C to C, C to RTL and RTL to RTL equivalency checks TSMC incorporates Berkeley's Analog FastSPICE in its AMS Ref. Flow 2.0 Synopsys collaborates with ST in taping out ST's 20-nm test chip Version 5 of PowerPro from Calypto does faster RTL power optimization Aldec Design Emulator offers 4MHZ emulation speed NXP to make its IEEE 802.15.4-based wireless n/w software an open source DVB-C2 software coder for R&S SFU signal generator Online PCB design environment by Cadence for ORCAD users Bosch uses Cadence Vituoso for unified custom/analog flow New version 9.1 of Tina; spice based analog design software from TI GNU based coding tool suite from Mentor for Embedded software dev Cadence's tool suite ensures tight handshake between vlsi board and sofware dev Software for DC parametric testing on discrete semiconductor devices The Qualcomm AR Android SDK available for smart phone developers New Cadence Allegro 16.5 release strengthens connectivity Free plug-in software for configuring TI's MSP430 microcontroller peripherals Software kit, Linux support and libraries for TI's C66X DSP family Free PCB design software from RS Components Synopsys' CustomExplorer Ultra for mixed-signal verification of SoC Software tools for analysis of digital media files on a PC MIPI RFFE IP Core from Arasan AWR's software used by NI to develop its Vector Signal Analyzer Mentor Graphics' new spice simulator 'Eldo Premier tool' Cadence DDR4 IP offerings: PHY IP; controller IP; memory models; verification IP; tools and methodologies Android application for collecting and monitoring sports data from ANT+ devices MATLAB Coder software generates C/C++ program code directly from MATLAB algorithms uC/OS-II and uC/OS-III kernels supports IAR Embedded Workbench for ARM v 6.20 Synopsys' USB, HDMI, PCI Express, DDR and SATA IPs for TSMC's 28nm process Battery simulator designed for testing of battery powered devices 3DTV development tool from Mentor visualizes 3D pictures Docea updates its power modeling software XMOS launches new development tool with graphical run-time analyzer SoC designer Amlogic employs Synopsys' CustomSim tool 360 Degree enhanced unified custom/analog flow from Cadence Mentor's new Calibre RealTime offer instantaneous DRC Murata offering software and hardware for a smart LED lighting system Tools from Synopsys and Mentor for Xilinx' FPGA design synthesis Synopsys introduces a tool to check chip designs for litho bugs Synopsys DesignWare IP to support final release of PCI Express 3.0 Specs Updated certification evidence for IEC 61508 for Wind River's VxWorks Cadence announces Verification IP catalog for emerging on-chip interconnect protocols Express Logic launched downloadable app modules for ThreadX RTOS Synopsys' DesignWare data converter IP for SMIC 's 65nm process New version of power supply design software from PI in multiple languages PGI line of high-performance parallelizing compilers and development tools for Linux Agilent releases new version of its 3D electromagnetic simulation platform Real Intent says its Ascent Lint is 10x faster than legacy lint tools New portfolio of 14-bit ADC IP-cores from Cosmic Circuits New HDL debugging technology called Mirror-Box from Aldec IntervalZero releases new version of its real-time embedded software Arasan develops the Universal Flash Storage (UFS) memory IP core Cosmic Circuits unveil 30 ADC IP cores in the speed range of 200 KSPS to 20 MSPS Ashling's Linux debugger supports MIPS based applications LeCroy's software turns your computer as capable oscilloscope Automated test software for PCI Express 3.0 transmitter compliance Free voice processing software modules by TI for C55x and C64x+ DSPs developers IP core from Synopsys for MIPI Display Serial Interface (DSI) Host Controller Magma's new version of Talus with 5x faster turn around time for designing 20nm range SoCs New DAQ toolbox from MathWorks supports NI's CompactDAQ hardware Synopsys announces new version of LightTools illumination design and analysis software ARM unveils AMBA 4 protocol-compliant system IP for CPU and GPU Systems IAR Systems latest embedded software workbench supports C++ Atmel unveils open source license AES-128 immobilizer protocol stack DDC adds data reconstruction functionality to its bus analyzer software ZILOG launches new embedded platform Encore ZDS II version 5.0 Synopsys unveils DesignWare STAR ECC IP for high level of protection Software and hardware system from JTAG Technologies for board-level and system designers Power Integrations unveils latest version of its power supply design software Silicon Image unveils new HD video decoder IP core for decoding 4Kx2K 3D at 60 fps MathWorks enhances its Polyspace embedded code verification products Wind River introduces Wind River Linux 4 version for embedded software apps Mentor Graphics offers UI dev. platform for Android developers Free software development tools from TI for ARM developers involved in DSP coding Audio engine from ST for STM32 MCUs Synopsys ups its Synplify FPGA Synthesis Software With 4X faster runtime Micrium introduces µC/TimeSpaceOS New ReadyStart platform from Mentor Graphics for embedded systems development SpaceWire router IP-core from Aeroflex CSR's new software framework enhance wireless experience in Windows based PCs PGI CUDA C compiler for x86 devices from AMD, Intel and NVIDIA Android based video phone system from Ittiam Unified software platform from BroadLight for GPON and Ethernet gateway applications Software test executive from Corelis for JTAG testing Linux version of Full-flow analog IC design suite from Tanner EDA New JPEG 2000 IP cores from Barco MathWorks introduces new MATLAB and Simulink product families Zuken introduces new version of its PCB design software AWR releases new RF system design software named VSS 2010 Wind River introduces the VxWorks Cert platform combing 2 of its existing safety critical platforms New UHS-II PHY IP core from Arasan chip Synopsys's DesignWare MIPI M-PHY IP in 40-nm is available ispLEVER Classic is upgraded with Synopsys Synplify Pro with the HDL Analyst New software from B&K for creating complex test signal waveforms NI's LabVIEW 2010: faster compilation, FPGA support and more partner support Microwave office design suite from AWR Design software for desiging digital power sys using Exar' s chips New audio IP from Synopsys using 40-nm and 55-nm process technologies New software development tool from TI to generate prototype software for TI DSPs AMD introduces software drivers for desktop computing with 3D Internet potential Cadence and ARM to jointly develop an optimized system realization solution for ARM processors PGI compilers and tools for Visual Studio 2010 RAID IP cores from Silicon Image for consumer electronics application New development software for FPGA boards from Altera 3D planar EM simulation software for antenna analysis Online design tool from IR for electrical and thermal simulation of its Power ICs Electrical energy and power demand analyzer tool from Dranetz MathWorks tools support Piccolo MCUs from TI New remote access software and waveform transformation toolset for Agilent's DCA oscilloscopes New ESL design software offers Automatic IBIS-AMI model generation capability austriamicrosystems implements Nangate's library creator in its digital cell library IP Red Hat Enterprise Linux 5.5 now supports Exar's X3100 family with SR-IOV Internet-video HDTV processor from SiS supports Google's Android 2.0 New version of 3D electromagnetic modeling and simulation software from Agilent Code generation tools from MathWorks support Eclipse IDE and Embedded Linux ARINC 429 Development kit from Actel RF/microwave design software from Agilent featuring X-Parameters Technology Real Intent's new version of design verification tool adds VHDL checks New version of design tool from TI for amplifier filter design PGI Accelerator compilers from Portland now supports NVIDIA GPUs Ethernet controller IP from Synopsys with audio video bridging feature Microtronix's DMA Engine for Altera's FPGA New GUI tool from Amulet offer smartphone-like graphics for embedded systems CEVA's upgraded SATA IP core supports 6Gbps speed New version BIOS firmware from American Megatrends for UEFI 2.41 version Temperature sensor VLSI IP core from Ensphere Solutions Latest version of ScanExpress Boundary-Scan tool suite from Corelis Safety-critical certification pack for ThreadX RTOS from Express Logic Silicon Frontline's new version of its post-layout EDA tool is 10x more powerful Ittiam unveils its audio codecs and algorithms for the ARM Cortex-M4 processors ARM Cortex-A8 based evaluation kit with Android support from Freescale Semiconductor New CodeWarrior development studio based on Eclipse software framework Deep Packet Inspection protocol software from Cavium for 3G/4G core networks Arasan's complete line of Memory Card Controller IP cores and software stacks Cypress touchscreen device drivers now supports Android platform NXP's new development tool platform for ARM processor based MCUs at $29.95 Bus IP cores from Arasan now powers interconnect in NetBook processors AWR Design Environment is enhanced with 3D planar electromagnetic (EM) simulator Synopsys expands DesignWare MIPI IP portfolio for mobile devices DesignWare HDMI 1.4 Tx/Rx digital controller and PHY IP solutions from Synopsys Mentor's Catapult C is now full-chip capable Hardware-assisted solution from Mentor for accelerated verification of USB 2.0 devices LSI expands custom silicon IP portfolio to accelerate networking and storage SoCs Arasan extends MIPI IP portfolio with DigRFSM 3G IP core targeting cellphones Synopsys' PrimeTime 2009.12 delivers up to 2X speed for timing signoff Fujitsu expands Java software verification system developed by NASA Broadcom's Maestro software platform simplifies its wireless connectivity RTL simulator from Aldec with enhanced Assertions and Xilinx SecureIP support Software measurement suite from NI for Mobile WiMAX devices automated testing Enhanced DDR test and validation portfolio from Tektronix Beta version software development kit from Intel for Atom processor based netbook apps Enhanced hierarchical chip design planning tool for SoC developer from Magma Low-cost Linux RTL and Gate-level simulator from Aldec ObjectAda Real-Time 8.4 for Windows targeting VxWorks/x86 embedded systems eTools 8.0 software suite from eASIC for simplifying 45nm ASIC design Magma tunes it chip design software for PCB debugging PGI Release 2010 parallelizing compilers and development tools Tektronix tools now support HDMI 1.4 specs TI-SmartView emulator software for TI-30XS, TI-34 MultiView scientific calculators New embedded systems toolset from IAR supports ARM Cortex-R4 processor Trusted Logic's new NFC protocol stack for Android operating system used in mobiles New SD3.0 family of host controller IPs from Arasan Synphony HLS with unique M-language and model-based solution from Synopsys New 18 MegaPixel camera processor IP core from Silicon Image for electronic devices AXIOMTEK's Intel Core2 Duo network appliance platform with 4-Gigabit LANs Evaluation Kit from CML for CMX704x and CMX714x IC products New NXC2620 Embedded DVK4.0 development kit from IC Nexus Atmel's SAM9G45 evaluation kit supports 400MHz ARM9-based Embedded MPU Cadence OVM based verification IP solution for PCIe 3.0 Atmel releases version 3.0 of its QTouch library for touch-interface application New RF Development kit for Microchip PIC MCUs from Semtech FPGA based broadcast connectivity targeted design platform with DisplayPort IP core AXIEM 3D planar electromagnetic analysis software version 2009 from AWR A single, unified StarRC Custom extraction solution from Synopsys MDDR memory controller IP core supporting 200 MHz Cyclone FPGAs New eZdsp USB stick development tool at $49 for DSP apps from TI IAR's Embedded Workbench with C/C++ compiler for TI MSP430 IAR Systems Embedded Workbench tool for ARM Cortex-M0, -M1, -M3 cores Synopsys DDR3 IP supports 2133 Mbps data rates and 1.35V DDR3L Zarlink's VeriVoice line test software supports VE890 chipset series for voice-over-DSL services Agilent's IO libraries suite 15.5 software supports windows vista 64-Bit and windows 7 Ashling adds support for TI's Code Composer Studio 4 LSI's broadband access software for IPv6 networking protocol ARM7 based embedded board training kit priced below 50$ Altera's RapidIO IP core qualifies RIOLAB device interoperability testing Free software tool and 3.2" display kit for PIC MCU based embedded applications New continuous data protection software for power saving and data protection Open-configuration software environment for creating real-time testing applications HDMI 1.4 IP core from Synopsys is available by end of 2009 IP-compatible host media processing software from OKI for phone/fax response systems Actel's new Libero IDE v8.6 for Low Power Design and Analysis Design simulation software for studying the performance of load switches Functional verification tool from Real Intent address X-handling issues of VLSI designs Apple Mac OS X drivers available for LSI 3ware RAID controller cards iSolve SATA from Mentor Graphics to test SoCs for their SATA II performance Free MPM power management tool for FPGA design from Actel NXP offering new software for design power management systems based on NXP ICs PCI Expess 3.0 PHY IP core for SoC designs in 40nm process Agilent's updated design tool for MMIC and RF module design Synopsys Launches in-design verification tool to cut chip-design time ARM delivers physical IP libraries tuned to TSMC's 40 nm process DesignWare IP from synopsys for AMBA 3 AXI supports hybrid bus architecture Magma's upgraded VLSI chip design tool Talus gets rid of multiple design files Lynx from Synopsys to speed up the chip design New RTOS kernel for defense, aerospace and such critical applications MIPS offers USB-IF certified USB 2.0 PHY IP core ARM released Keil µvision4 IDE for embedded system developers TI's SwitcherPro design software can now be downloadable to the desktop New version of power supply design software PI Expert from Power Integrations Audio bus & power analysis solutions for the embedded design engineer Linear released LTspice IV for multicore processors New NAND flash, DRAM, and software from Micron to kick-start the growth The 16- & 32-bit MCU tools eases design for energy-efficient applications Handheld prototyping tool for small computing devices Oscilloscope software adds debugging power to test pods Power Integrity analysis for advanced low power multiple voltage PCB design A million-bit-per-minute channel simulator for signal integrity Synopsys adds DesignWare SuperSpeed USB xHCI Host Controller Altera released Quartus II software version 9.0 for FPGA and other prog logic ICs Touch sensing application specific Touch-Library for Atmel's AVR microcontroller Host media processing software, eSound engine for server V2 Pair of free RF design EDA software tools from Anlog Devices Microchip adds development tools, boards and libraries for motion control applications IAR System's embedded workbench for Renesas H8 and TI MSP430 family ispLEVER 7.2 FPGA design tool suite with advanced route algorithms Set of audio IP solutions from ARC for consumer OEMs and silicon vendors Echo cancellation software for multicore media processors Family FPGA design tool suite with advanced place and route algorithms Free video/imaging signal processing library for TI's DaVinci Software multimedia codecs for ARM processors ARM 7 based Zigbee radio module and development kit Parasitic reduction tool from Agilent to enhance RFIC simulation speed Altera releases Quartus II software version 8.1 ECP2M family development kit for fast PCI express design National Semiconductor's online tool for sensor signal path solutions New Bluetooth development kit from Mindtree for automotive market Altera releases Quartus II design software version 7.0 FPGA development kit for PCI Express and PlanAhead 9.1 software from Xilinx Learn embedded system integration through new PICDEM kit from Microchip Agilent improves the accuracy of time domain response in high frequency spice simulation software Free USB SDK for ST Microelectronics STR7 and STR9 microcontrollers Texas Instruments releases new SwitcherPro power supply design tool Latest version of PI Expert power supply circuit design software is free to download Cypress and Winbond jointly built a demo kit for VOIP headset. Zilog updated version(v2.0) of infrared remote control software is free Infineon released " Design kit " for next-generation transmission design to enhance the fuel efficiency in automotives.
New Products
POLYTEDA released latest version of PowerDRC/LVS
SUSE to distribute 64 bit ARM architecture software
VLSI design automation software loop faster and faster for any last bug
Tata Elxsi develops a fast booting and fast start-up automotive Linux for infotainment
Silvaco released CAN FD IP core
VLSI design: New version PowerDRC/LVS 2.2.1 released by POLYTEDA
SCADE and Deos RTOS integrated for safety critical applications development
Version 6 of SoC VLSI design debugging tools from Concept
C-based algorithms for sensor data processing
Synopsys' VDK available for Renesas' RH850 MCUs
Mentor enhances its FloTHERM with more features
ARM Artisan physical IP for UMC's 55 ULP
Plug-and play evaluation tool from NXP for RF energy applications
Cache partitioning supporting RTOS for safety critical applications
Vendor independent HDL code for image processing using FPGA/ ASICs
Hard macro IP for Phase-Locked Loop functions in SoC design
VLSI SoC layout design: FlexNoC phy interconnect IP reduce P&R iterations
50% reduction in the entire analog design flow, claims Pulsic
FreeRTOS for Tensilica Processors
Wind River integrating Simics with MathWorks' Simulink
RTOS for your low power microcontroller based IoT embedded system
Full big-endian Linux stack for the 64-bit ARMv8-A architecture
Cadence' new VLSI physical implementation tool score high in performance
Compression IP for MIPI DSI IP enables high resolution at lower bandwidth
Voice control software optimised for Synopsys's ARC DSP processor cores
Soft IP Core, targeting I2C design needs
New AXI4 verification IP from eInfochips for FPGA and SoC designs
World’s fastest 8051-compatible 80251 microcontroller IP Core
Crypto silicon IP cores from Barco Silex supports Apple HomeKit
Subscription based electrical CAD Software
STM32Cube helps porting of code from one STM32 MCU to another
6.6K gates 8051 MCU IP at 13.15x speed of the original C8051
High performance yet low-cost schematic and PCB design EDA software
Updated development tools from IAR for ST's 8-bit STM8
"Try silicon IP before buy" concept by eSilicon
Genode OS Framework release 14.11
Automata Processor (AP) software development kit from Micron
EDA tool for modeling photonic integrated circuits
MEMS design software features improved simulation
TASKING C compiler for Renesas RH850 automotive MCUs
Cadence adds 3 new products to its ORCAD PCB design software
Cadence' new RC extraction tool runs faster supporting finFET designs
VLSI design: Backward-compatible UVM 1.2 unveiled by Accellera
MIPI M-PHY 3.0 Gear 3 IP in UMC40LP
Altium's enhances its high speed PCB design using ICD tech
802.11ac Wi-Fi Silicon IP cores for your smart connection device
Simulator for analog/mixed signal apps capable of virtual prototyping
Accellera Systems Initiative Releases UVM 1.2
Synopsys offering production-ready IP for TSMC 28HPC Process
Two-in-one ARM64 development platform
ST's new middleware for its MCUs
LTE stack from NextG-Com on ConnX BSP3 processor core
Automotive noise killer software on a Tensilica DSP core
Ansys and Synopsys EDA software ready for Intel 14nm fab
H.265 video decoder core with no need for software computations
Tried and tested USB 3.0 Host Controller IP from Cadence
IOT Controller ADK with software from GainSpan
Chip design: Faster and simpler automatic VLSI layout creator
Enhanced FPGA software Vivado is 25% faster and support HL synth
IAR Systems releases updated tool for Renesas RL78 microcontroller family
Small LP OpenVG Vector Graphics IP Core from CAST
GNAT Pro Safety-Critical Development Environment Released for LynxOS-178
Open source software for sensor hub applications
ARM Compiler ver 6 adopts Clang and LLVM
AdaCore releases GNAT Pro 7.2 for Android
IP for post-silicon system validation in SoC design
XJTAG releases version 3.2 of its boundary scan
64GSPS ADC and DAC semiconductor ip cores for 32nm
Realtek uses Synopsys' software and UMC' fab to make first pass Smart TV SoC
Latest version of its static analysis tool from AdaCore
Power supply design tool identifies high-via currents and high resistance paths
High performance 8051 Microcontroller IP core from CAST
Arteris's FlexNoC Composition cuts SoC design time from 18 months to 9
UMC to offer ARM Artisan physical IP and POP IP in its 28nm HLP process
Automotive platform for Linux from Mentor supports GUI development
VLSI Design: HEVC decoder silicon IP from oViCs consumes 840K gates
Cadence claims its C-to-Silicon Compiler saved VLSI design time by 70%
H/w and s/w platform supports high-speed digital multimedia home
Cloud powered rooftop solar PV power estimation tool from TERI
ARM offering kit to support quicker safety certification
Software support for Xilinx' Zynq to design machine vision faster
VLSI design: NEC adopts Aldec’s ALINT for RTL review
Software subsystem detects audio alarms and any security threats
2D/2.5D graphics accelerator and a multilayer display controller silicon IP
IC-LOGIC uses Arteris' FlexNoC and C2C IP in its IO HUB chip
ARM DS-5 supports testing of Cortex microcontrollers for running RToS
New MEMS design software creates Verilog-A ROM models faster
ARMv8-R supports consolidation of embedded software code
UMS offering RF IC design flow using Agilent's latest ADS software
Three products from Mentor for HDMI 2.0 chip design
VLSI design: FastSPICE simulator reduce simulation time from days to hours
Intel announces chips and software supporting IoT
Kumamoto University adopts Aldec’s SoC and FPGA prototyping tool
Jitter generation software for T1 E1 Lines
Synopsys' interface IP available for TSMC's 20 nm process
Control PCB design software by touch on smart phones and tablets
JPEG2000-RAW IP-cores from intoPIX for FPGA
UHDTV JPEG 2000 cores supporting 28 nm FPGAs and SOCs
Add voice recognition/speech-to-text feature to your SOC design
Zuken's PCB design software enhanced with high-speed support
Cadence GigaOpt reducing SOC physical design time
Genode OS new version support Qt5 and multi-processor
China-based Allwinner selects FlexNoC interconnect IP from Arteris
SpeedBridge Adapter for PCIe 3.0 to speedup designs in pre-silicon environment
28 nm enhanced ADC, DAC, PLL IP from Synopsys for SOC design
Questa iSDV automates writing directed tests in C to verify multi-core SoC design
Aldec's UVM supporting VLSI verification platform simulates 2-3x faster
Chip-design EEs can monitor V/I and EM issues while layout is created
AWR releases new version of its HF design software
Virtual lab by ST for audio design
SynaptiCAD's WaveFormer pro enhanced with import/export of waveforms
ARM Development Studio 5 starter kit for Renesas RZ/A1 devices
Memory and logic libraries for optimal design of SoC
Test tech from Synopsys can test several silicon dies at one time and faster
Highlights of Genode OS Framework release 13.05
Accelera delivers revised version of standards for low power IC design
Tool provide detailed info about the post-layout interconnections on SoC chips
Latest software for virtual chip-fab supporting 3D, Trigate and HKMG
Virtual dev tool by Synopsys for Renesas RH850 MCUs
PDK by Mentor Graphics for silicon photonic IC
Serial RapidIO 2.2 Endpoint Core from Praesum for ARM AMBA 4 AXI4
Cypress launches new IDE 5.4 for its PSoC chips
Aldec launches lifecycle management solution for FPGA and ASIC designs
Powerful PCB library tools from IPC to support users of IPC-7351
Massive parallel processing for chip-design timing closure in days instead of weeks
OneSpin says its VLSI design tool on cloud is secure
Forte unveil enhanced Cynthesizer SystemC-based HLS tool
App for using formal methods for the verification of low power SOC designs
STMicroelectronics offering drivers to interface its MEMS devices to Linux OS
Software from Synopsys to quickly implement ASIP in SoC design
ARM's DS-5 CE now available for Linux
Software from CEVA for seamlessly offloading tasks from CPU to DSP
Arasan launches IPs for SD 4.1 devices
Mentor integrates MontaVista into its embedded automotive tech platform
Car infotainment systems software from QNX supports 7digital’s HTML5 music store
IP Tagging 1.0 standard from Accellera to track soft IP over entire chip design
Free interactive battery power design tool by Logic PD
Pre-production codec by TI based on H.265 video encoding standard
Software for Canon's MREAL Sys expands to support various sensors
SDKs from Ittiam for HD decoding
ZigBee IP, open standard for an IPv6 wireless mesh networks is available
Galaxy Custom Router from Synopsys does complex routing
New timing closure tool from Synopsys speed up design while plugging the leakage
IAR launches tools supporting Renesas’ RH850 core
Enhanced MATLAB and Simulink supports wireless and radar design
ARM Cortex A15 and A7 big.LITTLE reference designs by Synopsys for TSMC fab
Latest software from GL to emulate GPRS Gb Interface over IP
New version of IO Checker from SynaptiCAD to verify FPGA I/Os
HEVC video encoder/decoder from Ittiam for HD compression at lower bitrate
Aldec adds plotting feature in its new version of verification platform
IP and verification IP from Cadence for M-PCIe
Cavium uses Jasper's modeling app for RTL implementation of protocols
Timing/power model generator from Mentor for deep nm node ASIC cells
Silicon IP from IDTI offers up to 4:1 data compression in 4G systems
AWR announce RF PCB verification flow for Zuken.
Tool compare synthesizable RTL codes without testbenches or simulation vectors
New HyperLynx accelerates simulation performance up to 5X faster
Cadence unveil ready IP for USB SSIC specs
Frequency planning wizard to determine spurious free bandwidths
Embedded systems development platform based on Java
DC/DC power supply design tool from MPS
Synopsys tunes its software for FinFET based VLSI design
IMS-based "Web Real Time Communications" platform for innovative app development
DSP IP on silicon takes a space of only 0.039 mm2 in 28nm node fab
Software library for enabling vision intelligence in mobiles
WebPACK Edition of Xilinx' Vivado is available
VLSI design tool identifies critical issues in RTL phase of ASIC and FPGA designs
Graphic processor IP core takes a space of only 3.5 mm2 on a 40nm chip
MOS-Varactor simulation model helps Toshiba to build RF CMOS chips up to 60GHz
Kit to port Android's Jelly Bean to TI's Sitara ARM A8 processors
Mentor Graphics's Tessent IJTAG automates IP test and debug in SoC design
FPGA IP core enables interoperability between Xilinx Virtex-6 and Altera Stratix IV/V
Aldec's ASIC prototyping platform now supports ARM Cortex-A9
RTOS from TI for embedded systems developers
Genode OS Framework version 12.11 released
Khronos releases updated OpenCL 1.2 specs
Software from Agilent for decoding MIPI Unified and LLI protocols
Silicon IP for Ethernet interface in automotive ICs
Bsquare's BSP for Freescale i.MX 6 processors
Advantech SUSIAccess 2.0 supports Linux
H.264 High-Profile encoder IP from CAST for FPGAs and ASICs
Synopsys' LP SoC tools optimized for CPA 28-nm HKMG
LP RTL-to-GDSII reference flow from Cadence for common platform tech fab club
GENIVI 3.0 specs-compliant Linux IVI from Mentor
Software for LTE-Advanced and IEEE802.11ac for Anritsu's signal analyzers
Synopsys Proteus features litho compliance check for 20nm designs
Tool supports reuse test, monitor and debug logic embedded in existing IP blocks
Mentor enhances capabilities of its Sourcery CodeBench and Sourcery Analyzer
Optimized antenna matching circuits transferred to AWR’s Mw Office in a click
New version of Riviera-PRO deliver 20% VHDL simulation performance gain
Synopsys' Discovery VIP for the ARM AMBA 4 AXI4 offers a Performance Checker
Serial RapidIO Gen2 MegaCore function IP from Altera
Latest version of Cynthesizer SystemC high-level synthesis tool from Forte
Special model libraries from Goepel for AUDO-series MCUs from Infineon
Gesture recognition software designed for multi-touch technology
DRC tool from Mentor allows creation of best-practice rules using VBScript/Javascript
SigmaStudio for SHARC graphical development tool from ADI for audio applications
Wind River introduces latest version of its software testing tool
Component library from Murata uncovered for Agilent’s Genesys simulation software
CCES Software Dev. Platform from Analog for its SHARC and Blackfin processors
Vector’s AUTOSAR 4.0.3 basic software is now available
Symtavision introduces system level tool suites for embedded real-time systems
Displax launches new multitouch software for digital signage
LDRArules: Software programming rules for assuring standards compliance
Simulink and MATLAB 2012b with improvements in navigation
M2M software from Wind River for Internet of Things apps
Mentor Graphics added and improved support for 42 embedded development boards
UFS IP from Arasan supports UFS 1.1 standards
Version 2.3.0 System-C library from Accellera
Lattice Semiconductor launches Diamond 2.0 version of its FPGA software
MIPI LLI controller IP along with a matching type-1 M-PHY from Arasan
40GbE100GbE Ethernet IP cores from Altera
Cadence add new features to its PCI Express Verification IP
Graphical software library from SEGGER for EFM32 MCUs from Energy Micro
28nm MIPI M-PHY from Cosmic Circuits available
Zuken enhance support for automotive design in its CAD software
Newest version of the 68000 processor IP core from Digital Core Design
New DOCSIS 3.0 Voice-over-IP solutions introduced by Microsemi
NI Multisim Component Evaluator for simulation of linear circuits using ADI ICs
Development platform supports Qualcomm's Snapdragon processor for Android
SON software from AirHop for TI's KeyStone-based small cell SoC chips
Software from Synopsys simulates Aurix MCU chip from Infineon
New version of 40 nm RTL-to-GDSII ref-design flow for SMIC foundry
E³.series electrical CAD software updated version from Zuken
Verilog-A proprietary non-linear device models from Cree for its GaN RF devices
SymTA/S can test embedded software developed for AURIX MCU from Infineon
Xilinx expands FEC IP core Offering for 2.5G, 10G, 40G and 100G applications
IAR enhances its embedded software dev tools to cover full ARM Cortex range
JTAG module offers digital and analog test access to PCBs via QuadPod interface
Toradex released specs of ARM powered Computer-Sys-on-Mod arch. named Apalis
TD-SCDMA software IP from CEVA for CEVA-XC DSP family
Quartus II Software v12.0 released from Altera - Supports 28-nm FPGAs
Sonics and Arasan collaborate to offer optimized MIPI-LLI and UFS IP
New ver. of SimMechanics helps creating realistic parts and geometry by leveraging MATLAB
Quadros RTXC RTOS for DSP Cores from CEVA
GOEPEL electronic develops software option for Xilinx’s JTAG Platform Cable USB II
Agilent releases GoldenGate 2012 – software for RFIC simulation, verification and analysis
Integrated hybrid prototyping solution from Synopsys
Complete SoC design solution from Synopsys for Samsung’s 20nm node
DSP software and SDK from TI for biometric real time analytics applications
50 DMIPS 32-bit microcontroller from Renesas for portable applications
Calibre Litho checks by Mentor Graphics for TSMC 40nm and 28nm customers
HDMI/MHL dual-mode transmitter IP core from Silicon Image for tablets and mobiles
Tanner Analog FastSPICE announced by Tanner EDA for nanometer circuit verification
New VHDL entry and inspection tool from Sigasi accelerates feedback cycle
In-circuit debugging probe ‘I-jet’ from IAR Systems for ARM applications
Free version of the IEEE 1666 Standard SystemC Language Reference Manual
VLSI Design: Software bridges the cross-over points between analog and digital design
Mentor Graphics’ PCB design-through-manufacturing tech used by PCD
Mentor Graphics' Inflexion UI technology used in Vestel’s set-top-box
New in-circuit acceleration feature in Cadence tools to speed-up SoC verification
New NVM Express IP solutions released by Cadence for SSD interface
Java platform for embedded system developers using ST’s STM32 family of MCUs
Cadence’s Encounter digital technology benefits design of Netronome’s ‘Green’ SoCs
Redesigned improved version of uC/Probe from Micrium
JasperGold Apps from Jasper target specific VLSI design and verification tasks
Tool from ADI for evaluating isolated communication interfaces
C++ library from Intel to help developers write and tune parallel software
Deep trace debug feature in Synopsys' HAPS FPGA tool
SpyGlass v4.7 RTL platform released by Atrenta
TripleCheck IP validator from Cadence for IP compliance testing
Veloce2 emulation platform with VirtuaLAB capabilities launched by Mentor Graphics
MIPI specs supported by Mentor Graphics in its Questa Verification IP library
Simulink runs on arduino, BeagleBoard, and Lego embedded boards
Online design simulator from Fujitsu released for power management ICs
Front-end UML editor of Mentor's BridgePoint is in open source domain
New Questa platform 10.1 released by Mentor Graphics
Upgraded version of op amp design software tool from Intersil
Analog prototyping tool from Springsoft for 28nm and 20nm chip designs
Mentor Graphics and Stonestreet One integrate Bluetopia protocol stack and Nucleus RTOS
Software accelerator by Wind River for android automotive IVI applications
Design App from STMicroelectronics for smartphones and tablets
Ceva offering optimized Skype SILK Super Wideband Speech Codec
6th ver. of Open Silicon's Interlaken IP core supports speeds up to 600 Gbps
Mentor Embedded Linux supports open source Yocto Project
Cosmic develops its interface IPs at 28nm and 20nm nodes
INTEGRITY RTOS from Green Hills to support multi-core x86 CPUs from AMD
Low energy CEVA-Bluetooth 4.0 IP for single/dual mode apps
AWR issued with two patents on circuit design
AudioCodes introduces Session Experience Manager software
Software development kit from Synopsys for ARM Cortex processors
Verification IP for Non-Volatile Memory Express from Synopsys
MediaTek launches audio-video compression software "Mobile Theater"
Mentor's PADS updated with new features
IEEE announce five new standards for the smart grid
MATLAB to HDL code converter tool from MathWorks at $10K
RTL to GDS II flow from Cadence supports double patterning semiconductor tech
Latest IC design verification IP from Synopsys entirely written in SystemVerilog
Atmel's IDE studio 6 supports ARM Cortex and AVR MCUs
Infineon enhances online design tool for LED lighting
Broadcom's 3 chips for Android Ice Cream Sandwitch
Virtualization software on smartphones to safegaurd personal information
Qt Commercial support on VxWorks 6.9
Add HDMI 1.4 phy to your SoC design faster using ready IP from Synopsys
Chip and the SDK from Ceva for runtime software development
SPICE model for SiC MOSFET power devices from Cree
Mentor release 8.2 version of HyperLynx for complex PCB design
LSI goes for ARM IP for its new products
GL communications release ATM IMA emulator software
Memory IP platform for SoC designers supports different interfaces
CAD app generates complex PCB footprint models
MIPI announces DigRF v4 v1.10 and RFFE v1.10 specs for mobile phone designers
New book titled Advanced Verification Topics by Cadence for VLSI design
400 mega-transfers per second flash interface IP from Cadence
India based Ace Thought offers HD video codecs for ARM Cortex-A9 and A15
Database for Android app developers from ITTIA syncs with MS SQL server
INVIA SAS to use CRI's tech in providing security IP for chips
Corelis adds ScanExpress JET support for TI's Sitara ARM Cortex processors
Touchfree gesture recognition database design for consumer Electronics OEMs
EDA tool 'Laker Blitz' from SpringSoft targets final part of semiconductor chip making
Magma release new version of its Titan analog/mixed signal tool
New module in CADSTAR PCB design software to ensure proper power distribution
ARM helps Android developers to create apps 4 times faster than Java code
Corelis extends JTAG Embedded Testing to Freescale i.MX51
Synopsys updates its FPGA prototyping tools to work faster
Connectivity IP cores from Xilinx for LTE and LTE-A wireless base stations
Middleware for administering and maintaining mobile connected devices
Xilinx ISE Design Suite 13.3 feature DSP support to handle media content
New version of Simulink Control Design for embedded system designers
Lattice releases Windows-based ispLEVER Classic 1.5 design software
NXP offer emWin graphic library free with ARM MCUs
iPhone app by Xilinx for FPGA designers
ST-Ericsson designed its 40-nm mobile SoC using Cadence Virtuosa and Encounter
Synopsys enhances its FPGA tool to reduce design errors
VSTREAM from ARM is extended to support functional SoC validation on RTL simulator tools
Cosmic Circuits announces 28nm roadmap of its VLSI IP offerings
Low power open-source cell library from Si2 for comflex VLSI design
Filtering and predictive software from ST for handling multiple i/p data from MEMS
Wind River's Workbench On-Chip debug tool for Intel arch based embedded sys
Application software for viewing of PCI Express 3.0 packet data
Cosmic Circuits tapes out MIPI MPHY in 85nm
DPI Software from Sensory for Cavium's OCTEON II processors
Wind River's fully integrated graphics software stack on Linux platform for processors
Scalable platfrom for delivering HD content in digital home and SMB networking apps
IP and a reference design for IEEE 1588 PTP on Microsemi's cSoC
Sunplus picks Cadence' transaction-level modeling flow for IC design
Semtech releases firmware update for its ACS9510 device
SmartFusion chips from Microsemi supports FreeRTOS
Stellaris 2.4 GHz CC2560 wireless Kit enables Bluetooth evaluation in 10 minutes
Linux-based wireless device server from Lantronix comes with dev. Platform
Mentor Embedded Inflexion UI software is available on ST's SPEAr processors
Virtual prototyping tool from Synopsys for faster validation of SoC and software
Riviera-PRO from Aldec features UVM transaction-level visual debugging
Camera Link IP core for Altera's Cyclone FPGAs
Cosmic Circuits M-PHY silicon proven in 40nm
Simulink Design Verifier 2.0 with automated error detection and test generation feature
SynaptiCAD's HDL tool supports C++ and SystemC
Faster and smaller in-circuit debugger and programmer from Atmel
Enhanced AES crypto engine from Barco Silex
Software drivers from Atmel supporting popular sensors in the market
AMD launched software tools to optimize apps for OpenCL standards
System-Level Verification IP from Jasper for ARM ACE-based SoCs
Verification IP for ARM's AMBA 4 Coherency Extensions protocol
Mentor is providing DRC and LVS tools to make 3D-ICs
Common embedded software development platform for all stages
Mentor's HL synthesis tool now supports TLM
BittWorks II Toolkit from BittWare for signal processing apps using FPGA
EDA Simulator Link 3.3 from MathWorks with new FPGA-in-the-loop
ADI releases enhanced RF design software tools to support its latest RF ICs
Avnet to distribute Aldec's Active-HDL in Asia
TDK-Lambda power supply selection app on iPhone and Android
SLEC from Calypto does C to C, C to RTL and RTL to RTL equivalency checks
TSMC incorporates Berkeley's Analog FastSPICE in its AMS Ref. Flow 2.0
Synopsys collaborates with ST in taping out ST's 20-nm test chip
Version 5 of PowerPro from Calypto does faster RTL power optimization
Aldec Design Emulator offers 4MHZ emulation speed
NXP to make its IEEE 802.15.4-based wireless n/w software an open source
DVB-C2 software coder for R&S SFU signal generator
Online PCB design environment by Cadence for ORCAD users
Bosch uses Cadence Vituoso for unified custom/analog flow
New version 9.1 of Tina; spice based analog design software from TI
GNU based coding tool suite from Mentor for Embedded software dev
Cadence's tool suite ensures tight handshake between vlsi board and sofware dev
Software for DC parametric testing on discrete semiconductor devices
The Qualcomm AR Android SDK available for smart phone developers
New Cadence Allegro 16.5 release strengthens connectivity
Free plug-in software for configuring TI's MSP430 microcontroller peripherals
Software kit, Linux support and libraries for TI's C66X DSP family
Free PCB design software from RS Components
Synopsys' CustomExplorer Ultra for mixed-signal verification of SoC
Software tools for analysis of digital media files on a PC
MIPI RFFE IP Core from Arasan
AWR's software used by NI to develop its Vector Signal Analyzer
Mentor Graphics' new spice simulator 'Eldo Premier tool'
Cadence DDR4 IP offerings: PHY IP; controller IP; memory models; verification IP; tools and methodologies
Android application for collecting and monitoring sports data from ANT+ devices
MATLAB Coder software generates C/C++ program code directly from MATLAB algorithms
uC/OS-II and uC/OS-III kernels supports IAR Embedded Workbench for ARM v 6.20
Synopsys' USB, HDMI, PCI Express, DDR and SATA IPs for TSMC's 28nm process
Battery simulator designed for testing of battery powered devices
3DTV development tool from Mentor visualizes 3D pictures
Docea updates its power modeling software
XMOS launches new development tool with graphical run-time analyzer
SoC designer Amlogic employs Synopsys' CustomSim tool
360 Degree enhanced unified custom/analog flow from Cadence
Mentor's new Calibre RealTime offer instantaneous DRC
Murata offering software and hardware for a smart LED lighting system
Tools from Synopsys and Mentor for Xilinx' FPGA design synthesis
Synopsys introduces a tool to check chip designs for litho bugs
Synopsys DesignWare IP to support final release of PCI Express 3.0 Specs
Updated certification evidence for IEC 61508 for Wind River's VxWorks
Cadence announces Verification IP catalog for emerging on-chip interconnect protocols
Express Logic launched downloadable app modules for ThreadX RTOS
Synopsys' DesignWare data converter IP for SMIC 's 65nm process
New version of power supply design software from PI in multiple languages
PGI line of high-performance parallelizing compilers and development tools for Linux
Agilent releases new version of its 3D electromagnetic simulation platform
Real Intent says its Ascent Lint is 10x faster than legacy lint tools
New portfolio of 14-bit ADC IP-cores from Cosmic Circuits
New HDL debugging technology called Mirror-Box from Aldec
IntervalZero releases new version of its real-time embedded software
Arasan develops the Universal Flash Storage (UFS) memory IP core
Cosmic Circuits unveil 30 ADC IP cores in the speed range of 200 KSPS to 20 MSPS
Ashling's Linux debugger supports MIPS based applications
LeCroy's software turns your computer as capable oscilloscope
Automated test software for PCI Express 3.0 transmitter compliance
Free voice processing software modules by TI for C55x and C64x+ DSPs developers
IP core from Synopsys for MIPI Display Serial Interface (DSI) Host Controller
Magma's new version of Talus with 5x faster turn around time for designing 20nm range SoCs
New DAQ toolbox from MathWorks supports NI's CompactDAQ hardware
Synopsys announces new version of LightTools illumination design and analysis software
ARM unveils AMBA 4 protocol-compliant system IP for CPU and GPU Systems
IAR Systems latest embedded software workbench supports C++
Atmel unveils open source license AES-128 immobilizer protocol stack
DDC adds data reconstruction functionality to its bus analyzer software
ZILOG launches new embedded platform Encore ZDS II version 5.0
Synopsys unveils DesignWare STAR ECC IP for high level of protection
Software and hardware system from JTAG Technologies for board-level and system designers
Power Integrations unveils latest version of its power supply design software
Silicon Image unveils new HD video decoder IP core for decoding 4Kx2K 3D at 60 fps
MathWorks enhances its Polyspace embedded code verification products
Wind River introduces Wind River Linux 4 version for embedded software apps
Mentor Graphics offers UI dev. platform for Android developers
Free software development tools from TI for ARM developers involved in DSP coding
Audio engine from ST for STM32 MCUs
Synopsys ups its Synplify FPGA Synthesis Software With 4X faster runtime
Micrium introduces µC/TimeSpaceOS
New ReadyStart platform from Mentor Graphics for embedded systems development
SpaceWire router IP-core from Aeroflex
CSR's new software framework enhance wireless experience in Windows based PCs
PGI CUDA C compiler for x86 devices from AMD, Intel and NVIDIA
Android based video phone system from Ittiam
Unified software platform from BroadLight for GPON and Ethernet gateway applications
Software test executive from Corelis for JTAG testing
Linux version of Full-flow analog IC design suite from Tanner EDA
New JPEG 2000 IP cores from Barco
MathWorks introduces new MATLAB and Simulink product families
Zuken introduces new version of its PCB design software
AWR releases new RF system design software named VSS 2010
Wind River introduces the VxWorks Cert platform combing 2 of its existing safety critical platforms
New UHS-II PHY IP core from Arasan chip
Synopsys's DesignWare MIPI M-PHY IP in 40-nm is available
ispLEVER Classic is upgraded with Synopsys Synplify Pro with the HDL Analyst
New software from B&K for creating complex test signal waveforms
NI's LabVIEW 2010: faster compilation, FPGA support and more partner support
Microwave office design suite from AWR
Design software for desiging digital power sys using Exar' s chips
New audio IP from Synopsys using 40-nm and 55-nm process technologies
New software development tool from TI to generate prototype software for TI DSPs
AMD introduces software drivers for desktop computing with 3D Internet potential
Cadence and ARM to jointly develop an optimized system realization solution for ARM processors
PGI compilers and tools for Visual Studio 2010
RAID IP cores from Silicon Image for consumer electronics application
New development software for FPGA boards from Altera
3D planar EM simulation software for antenna analysis
Online design tool from IR for electrical and thermal simulation of its Power ICs
Electrical energy and power demand analyzer tool from Dranetz
MathWorks tools support Piccolo MCUs from TI
New remote access software and waveform transformation toolset for Agilent's DCA oscilloscopes
New ESL design software offers Automatic IBIS-AMI model generation capability
austriamicrosystems implements Nangate's library creator in its digital cell library IP
Red Hat Enterprise Linux 5.5 now supports Exar's X3100 family with SR-IOV
Internet-video HDTV processor from SiS supports Google's Android 2.0
New version of 3D electromagnetic modeling and simulation software from Agilent
Code generation tools from MathWorks support Eclipse IDE and Embedded Linux
ARINC 429 Development kit from Actel
RF/microwave design software from Agilent featuring X-Parameters Technology
Real Intent's new version of design verification tool adds VHDL checks
New version of design tool from TI for amplifier filter design
PGI Accelerator compilers from Portland now supports NVIDIA GPUs
Ethernet controller IP from Synopsys with audio video bridging feature
Microtronix's DMA Engine for Altera's FPGA
New GUI tool from Amulet offer smartphone-like graphics for embedded systems
CEVA's upgraded SATA IP core supports 6Gbps speed
New version BIOS firmware from American Megatrends for UEFI 2.41 version
Temperature sensor VLSI IP core from Ensphere Solutions
Latest version of ScanExpress Boundary-Scan tool suite from Corelis
Safety-critical certification pack for ThreadX RTOS from Express Logic
Silicon Frontline's new version of its post-layout EDA tool is 10x more powerful
Ittiam unveils its audio codecs and algorithms for the ARM Cortex-M4 processors
ARM Cortex-A8 based evaluation kit with Android support from Freescale Semiconductor
New CodeWarrior development studio based on Eclipse software framework
Deep Packet Inspection protocol software from Cavium for 3G/4G core networks
Arasan's complete line of Memory Card Controller IP cores and software stacks
Cypress touchscreen device drivers now supports Android platform
NXP's new development tool platform for ARM processor based MCUs at $29.95
Bus IP cores from Arasan now powers interconnect in NetBook processors
AWR Design Environment is enhanced with 3D planar electromagnetic (EM) simulator
Synopsys expands DesignWare MIPI IP portfolio for mobile devices
DesignWare HDMI 1.4 Tx/Rx digital controller and PHY IP solutions from Synopsys
Mentor's Catapult C is now full-chip capable
Hardware-assisted solution from Mentor for accelerated verification of USB 2.0 devices
LSI expands custom silicon IP portfolio to accelerate networking and storage SoCs
Arasan extends MIPI IP portfolio with DigRFSM 3G IP core targeting cellphones
Synopsys' PrimeTime 2009.12 delivers up to 2X speed for timing signoff
Fujitsu expands Java software verification system developed by NASA
Broadcom's Maestro software platform simplifies its wireless connectivity
RTL simulator from Aldec with enhanced Assertions and Xilinx SecureIP support
Software measurement suite from NI for Mobile WiMAX devices automated testing
Enhanced DDR test and validation portfolio from Tektronix
Beta version software development kit from Intel for Atom processor based netbook apps
Enhanced hierarchical chip design planning tool for SoC developer from Magma
Low-cost Linux RTL and Gate-level simulator from Aldec
ObjectAda Real-Time 8.4 for Windows targeting VxWorks/x86 embedded systems
eTools 8.0 software suite from eASIC for simplifying 45nm ASIC design
Magma tunes it chip design software for PCB debugging
PGI Release 2010 parallelizing compilers and development tools
Tektronix tools now support HDMI 1.4 specs
TI-SmartView emulator software for TI-30XS, TI-34 MultiView scientific calculators
New embedded systems toolset from IAR supports ARM Cortex-R4 processor
Trusted Logic's new NFC protocol stack for Android operating system used in mobiles
New SD3.0 family of host controller IPs from Arasan
Synphony HLS with unique M-language and model-based solution from Synopsys
New 18 MegaPixel camera processor IP core from Silicon Image for electronic devices
AXIOMTEK's Intel Core2 Duo network appliance platform with 4-Gigabit LANs
Evaluation Kit from CML for CMX704x and CMX714x IC products
New NXC2620 Embedded DVK4.0 development kit from IC Nexus
Atmel's SAM9G45 evaluation kit supports 400MHz ARM9-based Embedded MPU
Cadence OVM based verification IP solution for PCIe 3.0
Atmel releases version 3.0 of its QTouch library for touch-interface application
New RF Development kit for Microchip PIC MCUs from Semtech
FPGA based broadcast connectivity targeted design platform with DisplayPort IP core
AXIEM 3D planar electromagnetic analysis software version 2009 from AWR
A single, unified StarRC Custom extraction solution from Synopsys
MDDR memory controller IP core supporting 200 MHz Cyclone FPGAs
New eZdsp USB stick development tool at $49 for DSP apps from TI
IAR's Embedded Workbench with C/C++ compiler for TI MSP430
IAR Systems Embedded Workbench tool for ARM Cortex-M0, -M1, -M3 cores
Synopsys DDR3 IP supports 2133 Mbps data rates and 1.35V DDR3L
Zarlink's VeriVoice line test software supports VE890 chipset series for voice-over-DSL services
Agilent's IO libraries suite 15.5 software supports windows vista 64-Bit and windows 7
Ashling adds support for TI's Code Composer Studio 4
LSI's broadband access software for IPv6 networking protocol
ARM7 based embedded board training kit priced below 50$
Altera's RapidIO IP core qualifies RIOLAB device interoperability testing
Free software tool and 3.2" display kit for PIC MCU based embedded applications
New continuous data protection software for power saving and data protection
Open-configuration software environment for creating real-time testing applications
HDMI 1.4 IP core from Synopsys is available by end of 2009
IP-compatible host media processing software from OKI for phone/fax response systems
Actel's new Libero IDE v8.6 for Low Power Design and Analysis
Design simulation software for studying the performance of load switches
Functional verification tool from Real Intent address X-handling issues of VLSI designs
Apple Mac OS X drivers available for LSI 3ware RAID controller cards
iSolve SATA from Mentor Graphics to test SoCs for their SATA II performance
Free MPM power management tool for FPGA design from Actel
NXP offering new software for design power management systems based on NXP ICs
PCI Expess 3.0 PHY IP core for SoC designs in 40nm process
Agilent's updated design tool for MMIC and RF module design
Synopsys Launches in-design verification tool to cut chip-design time
ARM delivers physical IP libraries tuned to TSMC's 40 nm process
DesignWare IP from synopsys for AMBA 3 AXI supports hybrid bus architecture
Magma's upgraded VLSI chip design tool Talus gets rid of multiple design files
Lynx from Synopsys to speed up the chip design
New RTOS kernel for defense, aerospace and such critical applications
MIPS offers USB-IF certified USB 2.0 PHY IP core
ARM released Keil µvision4 IDE for embedded system developers
TI's SwitcherPro design software can now be downloadable to the desktop
New version of power supply design software PI Expert from Power Integrations
Audio bus & power analysis solutions for the embedded design engineer
Linear released LTspice IV for multicore processors
New NAND flash, DRAM, and software from Micron to kick-start the growth
The 16- & 32-bit MCU tools eases design for energy-efficient applications
Handheld prototyping tool for small computing devices
Oscilloscope software adds debugging power to test pods
Power Integrity analysis for advanced low power multiple voltage PCB design
A million-bit-per-minute channel simulator for signal integrity
Synopsys adds DesignWare SuperSpeed USB xHCI Host Controller
Altera released Quartus II software version 9.0 for FPGA and other prog logic ICs
Touch sensing application specific Touch-Library for Atmel's AVR microcontroller
Host media processing software, eSound engine for server V2
Pair of free RF design EDA software tools from Anlog Devices
Microchip adds development tools, boards and libraries for motion control applications
IAR System's embedded workbench for Renesas H8 and TI MSP430 family
ispLEVER 7.2 FPGA design tool suite with advanced route algorithms
Set of audio IP solutions from ARC for consumer OEMs and silicon vendors
Echo cancellation software for multicore media processors Family
FPGA design tool suite with advanced place and route algorithms
Free video/imaging signal processing library for TI's DaVinci
Software multimedia codecs for ARM processors
ARM 7 based Zigbee radio module and development kit
Parasitic reduction tool from Agilent to enhance RFIC simulation speed
Altera releases Quartus II software version 8.1
ECP2M family development kit for fast PCI express design
National Semiconductor's online tool for sensor signal path solutions
New Bluetooth development kit from Mindtree for automotive market
Altera releases Quartus II design software version 7.0
FPGA development kit for PCI Express and PlanAhead 9.1 software from Xilinx
Learn embedded system integration through new PICDEM kit from Microchip
Agilent improves the accuracy of time domain response in high frequency spice simulation software
Free USB SDK for ST Microelectronics STR7 and STR9 microcontrollers
Texas Instruments releases new SwitcherPro power supply design tool
Latest version of PI Expert power supply circuit design software is free to download Cypress and Winbond jointly built a demo kit for VOIP headset.
Zilog updated version(v2.0) of infrared remote control software is free
Infineon released " Design kit " for next-generation transmission design to enhance the fuel efficiency in automotives.