Electronics Engineering Herald                 
Home | News | New Products | India Specific | Design Guide | Sourcing database | Student Section | About us | Contact us | What's New
Processor / MCU / DSP/Embedded
Memory
Analog
Logic and Interface
PLD / FPGA
Power-supply and Industrial ICs
Automotive ICs
Cellphone ICs
Consumer ICs
Computer ICs
Communication ICs (Data & Analog)
RF / Microwave
Subsystems / Boards
Reference Design
Software / Development kits
Test and Measurement
Discrete
Opto
Passives
Interconnect
Sensors
Batteries
Others

 

 


Semiconductor manufacturing tech news Last update: 15 Jun 2021

Top Stories  

SOI Industry Consortium Joins SEMI

UCT agrees to acquire Ham-Let

Hardly any product overlapping in Nvidia's acquisition of Arm

A list of short and long term trends while semiconductor grew double digit in 2017,

Acquisition progress of Broadcom - Qualcomm - NXP, and more such news early 2018

EUV is ready for mass production of 7 nm and 5 nm semiconductor chips

Play vertical game to become successful in the semiconductor chip market

Gartner reviewed its semiconductor market forecast for year 2017, estimate to reach $400 B

Yole: 4G and 5G RF-FE market to grow from $10.1B in 2016 to $22.7B in 2022

Samsung and Apple are top buyers of semiconductors in 2016, according to Gartner

Semiconductor revenue update this week: Ambarella and Sigma Designs

A Smart city, semiconductor industry's mega-opportunity

Taiwan holds on its top position in semiconductor foundry business

Global semiconductor revenue fell by around 2% in 2015

Semiconductor chip sales down in July 2015

Wearable shipment growth: From 5.6M to 18.1M shipments in 2nd Q 2015

IOT, smart home and automotive, a safer zone for semiconductor industry

Its high risk for semiconductor vendors to depend only on few big customers

Semiconductor fab race: Intel, TSMC, Glofo, Samsung neck to neck, SMIC fast catching

Mid-year semiconductor market forecast for 2015: near flat to small growth

Semicon West: Forget microelectronics it’s not even nano let’s call it atomtronics

China goes for big in semiconductor

Gartner's global semiconductor forecast for 2015: a 2.2% growth to reach $348B

3-D semiconductor innovation by IRT Nanoelec, CEA-Leti, STMicro and Mentor

7nm test chip taped out by IBM, Globalfoundries and Samsung alliance

Semiconductor market no more cyclical, a marginal 2-3% growth in 2015

Less power consuming 65 nm semiconductor fab process by Toshiba

SOI fab news: 130nm 300mm RFSOI and 180nm SOI foundry capacity available

Globalfoundries completes acquisition of IBM semiconductor business

Wearable and IoT demand 16nm/14nm process

SMIC, TSMC and UMC race gets closer to address Asian semiconductor market

Financial and IP capital shields big semiconductor memory manufacturers

Three semiconductor companies collaborate targeting China IOT market

Micro LED arrays fabricated using IC process for head-up displays

200% increase in wearables shipments in 1Q15 compared to 1Q14

Semiconductor market, both devices and fab-equipment is no more cyclical

Survey finds 3/4th of Americans planning to buy wearable in next 6-12 months

1q2015 silicon wafer shipment grew 3.4% sequentially, as per SEMI

New company is formed to make photoresists for EUV lithography

IoT platform revenues will grow to € 2.4 billion worldwide in 2020

A mega-merger in the semiconductor equipment market failed

Printed electronics: Liquid crystal molecule that produces high-performance organic FETs

$44.3 Billion semiconductor materials market in 2014 and is growing

Larger doping molecule enhance performance of organic semiconductor

Semiconductor lithography: EUV, NIL, DSA are hot areas in 2015

Jaswinder Ahuja: IoT and its consequences of the semiconductor chip design

Freescale India's Satinder Sohi's 2015 predictions for electronics and semicon

Apple, Samsung and smart phones consume max of semiconductor output

ST's Francois Guibert's take on 2015 semiconductor market: mobile and IoT

CEA Leti presented 3D building of transistors at IEDM

3D CNT logic and RRAM memory device to outperform today's silicon chips

SCREEN Semiconductor joins imec in advanced node chip manufacturing

Finally it's Globalfoundries taking over IBM's chip fabs

Microchip's comment linked semiconductor industry correction bubble bursts

Semiconductor stock crashing! The difference between Microchip and others

GaAs device market posts record revenues in 2013, says Strategy Analytics

Semiconductor market indicator see 9.5% increase in 2Q2014

Imec to work on optical and electrical interconnecting issues of silicon photonics

ASIC market 2014 study by IC Insights

FDSOI; The only semiconductor tech to continue Moore's Law down to 10nm

Semiconductor device market: 6%+ growth forecasted for 2014

Mid-2014 semiconductor manufacturing equipment market update

Variance linked yield challenge; A tough one for the semiconductor fab experts

Small semiconductor fabs shut due to Mega and cheaper foundries

Synopsys, ST Micro and Samsung collaborate on 28-nm FD-SOI

SEMI: Photomask market increased 1% in 2013

Large-sized panel shipment up 11% in March 2014, says TrendForce

Samsung and Globalfoundries to share capacities for 14nm

Combination of graphene and silicon to drive the next wave in semiconductors

In 10 years semiconductor material market has nearly doubled

Cloud-Managed Wi-Fi market is predicted to grow from US$ 653 M to 2500M

Latest ranking of top 20 semiconductor vendors in 2013 by IC Insights

Non-IC semiconductor market is forecasted to grow by >8% in 2014

The top capex spenders in semicon industry for 2014, forecast by IC Insights

The top ten IC market segments by growth

1 Trillion devices by 2016: semiconductor everywhere and anywhere is the trend

SEMI: Silicon wafer area shipments slightly up but revenues down in 2013

Business leaders see more stable semiconductor market in future, as per a survey

EMS/ODM market to resume growth in 2014, as per IPC

Tessera to close its mems camera module manufacturing operations

FinFET, FDSOI and Suvolta's DDC working at deeper nodes

3D and 2.5 D explored in semiconductor manufacturing for its economics

Semiconductor market 2014: some bytes from experts

Innovation is the fuel for profit and so is the EDA for electronics

Connectivity anywhere and to anything to drive technology market

Symphony Teleca's tech trends forecast for 2014

Wearable device growth; a trend started in 2013 to continue bigger in 2014

Panasonic turns fablite and TowerJazz a bigger foundry player

Soitec and CEA renew material research partnership for another 5 years

Wearable devices and robots to see a notable debut in 2014

The winners of 2013 Global Semiconductor Alliance awards

TSMC awards nine outstanding semiconductor equipment and material suppliers for 2013

IoT; an ingredient to boost global economy and electronics biz in 2014

10 technology predictions for 2014 by Strategy Analytics

Semiconductor market in 2013, the year end estimation by IHS and Gartner

Global electronics market forecast: $1.74 trillion in 2017 at a CAGR of 5%

Semiconductor equipment biz to bounce back in 2014 with 23.2% growth

E-Waste is getting bigger and worse

Top 20 semiconductor suppliers in year 2013, forecast by IC Insights

InGaAs FinFET devices built on CMOS silicon

ST and Memoir collaborate to bring algorithmic memory tech on FD-SOI

Cytomorphic-semiconductor; a discipline of cell-bio and electronics

AMD, Altera, ADI are top 3 semiconductor innovators by patent metric

Revenue update from semiconductor companies in 3rd quarter of 2013

TSMC reports 20.2% up in revenues in Jan-Sept 2013

ST wins sustainability award from Cisco

Increase in collaborations for deep node semiconductor manufacturing

Benchmark Electronics acquires CTS’ EMS biz

Jordan Valley receive one more order for 14nm metrology tool

NXP Semiconductor deepens its base in Singapore

Same lithography equipment for both Si and organic semiconductor

US contributed hugely for the growth of fabless semiconductor biz

Applied Materials and TEL merger, bigger they get to smaller the node

Semi equipment forecast by Gartner: -8.5% in 2013, +14.1% in 2014

Leading-edge 28nm pure-play foundry market to triple in 2013

Plastic electronics event in Europe to focus on manufacturability

450 mm is the next path to profit for top semiconductor players

Term loan facility to Freescale rised to $800M from $300M

eASIC gets $23.5M growth capital financing

Bing Xie appointed as VP of TI's worldwide sales and marketing

imec and Veeco partner in cost-reduction of GaN-on-Si power devices

Entegris and Imec creating solutions for handling very thin wafers

Japan looses its hold on top semiconductor ranking

Vanadium dioxide explored as a very-fast switch between insulator/conductor

New materials, processes developed for SiP assembly

Supply chain initiative to connect small and big companies in US

N.American semi equipment industry posts July 2013 B-t-B of 1.0

IHS: Industrial semiconductor market register 1% growth in first Q 2013

FLIR Systems acquires Tessera's micro-optics assets

Apple's choice of chips is major factor influencing global chip biz

Taiwan's semiconductor packaging industry to benefit from 2.5/3D technologies

Silicon wafer shipments rise by 12.3% in Q2 2013

Emerson to sell 51% stake in embedded and power biz

Carlo Ferro returns to ST as CFO

Ericsson and ST complete the splitting process

Europractice IC to expand its services into MEMS and photonic technologies

“Nano2017” R&D Program Announced at STMicroelectronics’ Crolles Site

Taiwanese fables VIA reported 200% m-o-m jump in revenues

IPC: Small size EMS companies growing faster in North America

N. American semi equipment industry posts June 2013 Book-to-Bill ratio of 1.10

UMC is currently producing 28nm chip and is fast-achieving FinFET process

TowerJazz to make chips and devices for IRF for seven more years

TSMC tapes out two 20nm test chips

Cryogenic etching by Imec to protect the surface of porous ultralow-k dielectrics

Litho-Services, LLC acquires assets and property of Simax Lithography B.V.

Air Products work with SEMATECH in developing <10 nm III-V semiconductor devices

Dow Corning joins imec to help in researching 3D bonding of wafers

Toshiba to add 4th fab to make advanced NAND flash memory chips

BOE Tech buying semiconductor equipment from Applied for making display panels

ST signs agreement with Rambus to expand licenses

Altatech installs one more CVD system at Fraunhofer Research Institution

UMC to work with IBM alliance for its 10nm node tech

US Army validates SiOnyx XQE sensor performance

Silicon Photonics is up for bigger impact

IPC forecasts slow growth for electronics

TowerJazz ramp the volume production for CMOSIS’ 12-megapixel image sensor

Memristor based VLSI design innovation by Panasonic replicates human thought

Freescale appoints Krishnan Balasubramanian to board of directors

IPC: 85% of the components placed are SMT and rest 15% are PTH

China based SMIC, BIDIMC and ZDG sign JV to build deep node fab

Papers by Rambus engineer on 3D semiconductor signal and power integrity

North American EMS market size for 2013 is $69 billion, as per IPC

Shipments of touch screen panels in 2013 to rise by 34%, as per IHS

TowerJazz to make SoCs using magnetic NVM tech from Crocus

ST appoints Jean-Marc Chery as GM of embedded and Vice-Chairman of the Corp Strat Committee

New hot semiconductor material MoS2 researched for atomic scale chips

IDC predicts global semiconductor market growth of 3-4% in 2013

Synopsys reports a quarterly revenue growth of 15% in its 2nd Q 2013

ADI reports 6% q-o-q sequential revenue growth in its fiscal 2nd Q 2013

FDSOI pilot line project by 19 EU companies and led by ST is announced

X-CEO of Akros Silicon joins Exar

CEA Leti: Integrating photonic layer with CMOS circuit is ready for mass production

Top vendors of semiconductor industry in 1Q 2013 by IC Insights

Semiconductor suppliers keeping large amount of inventory in the PC supply chain

SRC and NIST fund $5 Million to develop post-CMOS electronics

Vincent Roche is the new CEO of ADI

Worldwide SATS Market grew 2.1 percent in 2012, estimates Gartner

Intersil appoints Mark Downing as Senior VP, strategy and business development

SEMI: Global semiconductor materials market decreased 2 percent in 2012

GLOBALFOUNDRIES demos TSV on a 20nm semiconductor wafer

Semiconductor revenue in 2012: fell by 2.6% as per Gartner

Top 25 semiconductor companies in 2012, ranking by IC Insights

Intel and Samsung are forecasted to lead in semicon equipment spending in 2013

Avnet to acquire RTI Holdings

Researchers explore green methods to etch patterns on semiconductor wafer

Renesas to transfer its back-end facilities and others to J-Devices

Semtech grew 20.4% by sales revenue

Ambarella register a annual growth rate of 24.5%

Total semiconductor revenue in 2013 from automotive infotainment to reach $6.67 B

Diodes completes the acquisition of BCD semiconductor

MegaChips to integrate its biz ops with Kawasaki Microelectronics

Fully depleted Silicon on Insulator helps ST to hit 3GHz clock speed

LFoundry to acquire Micron fab in Italy

GLOBALFOUNDRIES enhances its 55nm LP process to support ARM’s 1.0/1.2V phy IP

10 semiconductor chip categories to exceed total IC market growth in 2013

STATS ChipPAC and UMC demo 3D test IC under open ecosystem collaboration

Spreadtrum using STATS ChipPAC’s eWLB packaging equipment

Elastic electric wires formed using polymer tubes filled with liquid metal

Detection of TSV voids in 3D stacked IC using acoustic microscopy

SEMI honors high K metal gate team of Intel

Fabless semiconductor startup Arctic Sand receives $9.6 million funding

Semiconductor biz locked into a paralyzing state of anxiety, says industry expert

Electronics industry market research services from IPC

Year 2012: 28nm super success and 14nm and 16nm are ready for big in 2013

The tricky semiconductor market is tough nut to crack for market analysts

Gartner: Semiconductor chip fab equipment spending to fall by 9.7 percent in 2013

ST readies 28nm FD SoI fab to compete better in convergence market

Semiconductor tops the top-100 innovators by Thomson Reuters for 2012

Top 5 semiconductor markets 2012: Comp, Comm, Cons, Auto, and Industrial electronics

The market and technology trends indicated by Renesas going fablite

ISMI award to Renesas’ former director for recovering fab site from nature’s disaster

Researchers create contacts on semiconductor chip by self-assembly process

Ink jet printing technique by Ricoh to make electronic components out of PZT

Bond via array technology for 3D semiconductor packaging by Invensas

3D Semiconductor and silicon-photonics research jointly by CEA-Leti ST and Mentor

SEMI: Book-to-Bill Ratio of 1.10 posted in April 2012 by N.A. semicon equipment industry

Semiconductor tech: Samsung researchers develop Graphene-Silicon Schottky switch

IHS alerts about alarming growth of counterfeit parts

Semiconductor metamaterial AZO can be metal at one voltage and dielectric at other

Top 20-semiconductor vendor ranking update in 1q2012: revenues down by 4%

Silicon wafer shipments of 1st quarter 2012 has slightly increased, says SEMI

Advanced power semiconductor packaging tech display by IR at PCIM 2012 event

3D chip stacking at 20nm enabled by GLOBALFOUNDRIES Fab 8

Global semiconductor market forecast 2012: Gartner; 4%, iSuppli; 4.3%, IDC; 6-7%

Advanced memory and logic tech and 3D TSV by SEMATECH at VLSI Sympo

Polysilicon price may reach US$ 20/kg in 2012, predicts TrendForce

Semiconductor market to grow by 4.3% in 2012, as per updated IHS forecast

SEMI: $1.48 B orders placed in March by N.American semiconductor equipment

Toshiba to rebuild chip plant in Thailand at safer place

Malaysia and Philippines showing good signs of semiconductor industry growth

Semiconductor 2011 ranking: Intel and Samsung atop, rest all out-of-range

Top 25 fabless semiconductor ranking 2011: Spreadtrum is the star

TSMC starts phase-5 expansion of its semiconductor Fab 14

RNCOS predicts 6% growth in global semiconductor market

IHS: Counterfeit part incidents tripled in last two years

UMC certified Synopsys' StarRC extraction solution for 28-nm designs

Latest in <22nm semiconductor tech at SEMICON West event

The top ten semiconductor foundries in 2011: TSMC maintains lead

Gartner forecasts 11.6 % decline in chip fab equipment spending in 2012

TSMC and Altera collaborate in 3D chip tech

Non-IC semiconductor revenues in 2011 is $57.4B, as per estimates

IHS: Semiconductor inventories set to decline slightly in Q1

Riber and imec collaborate in making CMOS devices using compound semiconductor

Gartner ups its semiconductor revenue estimation for 2012 from 2.2 to 4%

Semicon equipment spending to steep up in 2013

Nickel Oxide as semiconductor material withstands high voltage and temp

IBM makes a prototype optical chip set operating at speeds of 1000000 MB/s

AMD's pulls its stake in GLOBALFOUNDRIES, now only a valued customer

Semiconductor technology trends in 2012 at ISSCC

Is Germanium a potential candidate to work along with Silicon in future computers?

Researchers try non-planar contacts between metal and semiconductor

Building nanoscale transistors by aligning atoms, a disruptive tech for litho equipment

IBM chip fab club to talk on deeper nodes such as 14nm on Mar 14

Maskless lithography: a better alternative in semiconductor chip fab tech

Printed electronics gaining role in more applications

Renesas sales revenue falls by 8.3% q-o-q in its 3Q2011

Mobile-device overtakes computer as top consumer of semiconductor devices

NTU Singapore buys nanotech equipmeny from AIXTRON

U.S., S.Korea, and Japan have 85.3% of semiconductor IC revenue share, as per a study

In-Stat estimates Wi-Fi chipset revenue to reach $6.1B in 2015

IHS iSuppli forecasts a slow 3.3% growth of semiconductor revenue in 2012

Electronic device buit-in into a shirt is possibe due to elastic sonductors

North America semicon equipment makers posted $1.16 billion in orders in Dec 2011

Semtech to acquire Canada based Gennum

Samsung is world's 2nd producer and consumer of semiconductor devices

In-Stat: Semiconductor revenue of $3.5B from video surveillance equipment in 2015

Graphene growing nanotech equipment from Aixtron installed at INESC MN

IBM's next path in nanotech: Atom level

Intevac sells its semiconductor mainframe tech to Brooks Automation

Semiconductor fab spending to down in 1st half and to up in 2nd half 2012

Top ten technology trends related to media tablet, as per IHS iSuppli

CES 2012 is more of convergence tech than a consumer

Less semiconductor devices in stock due to flat market

Industry seeks a balanced growth of science and engineering

IHS iSuppli:Smart Meter shipments to triple from 20.5M in 2011 to 62M in 2016

Slew of steps taken by Rohm to recover fast from Thailand floods

Global semiconductor manufacturing equipment billings reached US$ 10.6B in 3Q11

Smart grid to fuel the growth of semiconductor market for next 5-6 years

Chip packaging material market to reach $25.7 Billion by 2015, estimates by SEMI

Rambus and ITRI collaborate on 3D semiconductor packaging tech

Probe-free testing of semiconductor wafers achieved by ST

ebook readers sales by units grow by 108% in 2011

TSMC expanding faster its facility for making 20nm semiconductor chips

Opportunities remain same for small companies in semiconductor business

ELECTRONICS.CA forecasts explosive growth power semiconductor devices

Advantest has shipped thousand T2000 semiconductor chip test platforms

Gartner's semiconductor market forecast for 2012 is less optimistic

Despite the odds global semiconductor revenues to reach $302 Billion in 2011

STATS ChipPAC's plant in Thailand is under suspension upto Jan 2012

Semiconductor equipment up by 151% in 2010 and 4.7% in 2011but to down by 10.8% in 2012

Forum on 6th December covering 3D semiconductor packaging tech

IC Insights: Spending on smart grid tech to reach about $100 billion in 2011

Infonetics: 2G, 3G, and 4G infrastructure market up by 8.6% from 3Q10

Imec develops 23% efficient crystalline silicon solar cells using back-contact tech

3D Semiconductor tech turns chip into cube

Toshiba to phase out production at three of its semiconductor plants

MPW semiconductor foundry services from AMS is made more extensive

B and I of BRIC is target of TowerJazz for its semiconductor fab services

Europe's SMAC to focus on 3D semiconductor tech

Amkor's acquisition talks related Toshiba's semiconductor ops in Malaysia postponed

TI, ST, Infineon are top vendors of semiconductor parts for industrial segment

Keynote subject at SEMICON Japan 2011 is 'power of Asia'

Semiconductor market to feel the pinch of global weaker economy

Applied materials' new EUVL overcomes another major hurdle in 13.5nm lithography

2011 semiconductor revenues to reach $299 billion, Gartner estimates

Adhesives from 3M for gluing semiconductor wafers vertically

Rice researchers work on highly conductive nanotube cables to replace metal cables

Invensas to demo multi-die, wirebond tech that mounts semiconductor chips upside down

Worldwide semiconductor equipment billing in 2nd Q 2011 is 1% lower than 1st Q

12 Inch semiconductor manufacturing to nearly double from 2010 to 2015



India Semiconductor
Medical Electronics
Aerospace & Defense
Security/ID chips
ADVT
 
Home | News | New Products | India Specific | Design Guide | Sourcing database | Student Section | About us | Contact us | What's New
©2006 Electronics Engineering Herald